WO2002067055A2 - Template for room temperature, low pressure micro- and nano-imprint lithography - Google Patents

Template for room temperature, low pressure micro- and nano-imprint lithography Download PDF

Info

Publication number
WO2002067055A2
WO2002067055A2 PCT/US2001/042688 US0142688W WO02067055A2 WO 2002067055 A2 WO2002067055 A2 WO 2002067055A2 US 0142688 W US0142688 W US 0142688W WO 02067055 A2 WO02067055 A2 WO 02067055A2
Authority
WO
WIPO (PCT)
Prior art keywords
template
substrate
alignment mark
light
patterned
Prior art date
Application number
PCT/US2001/042688
Other languages
French (fr)
Other versions
WO2002067055A3 (en
Inventor
Byung Jin Choi
S. V. Sreenivasan
Todd Bailey
Matthew Colburn
C. Grant Willson
John Ekerdt
Original Assignee
Board Of Regents, The University Of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Board Of Regents, The University Of Texas System filed Critical Board Of Regents, The University Of Texas System
Priority to AU2001297642A priority Critical patent/AU2001297642A1/en
Priority to EP01273791.2A priority patent/EP1352295B1/en
Priority to KR1020037005130A priority patent/KR101031528B1/en
Priority to JP2002566722A priority patent/JP2004523906A/en
Publication of WO2002067055A2 publication Critical patent/WO2002067055A2/en
Publication of WO2002067055A3 publication Critical patent/WO2002067055A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0053Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Definitions

  • TITLE TEMPLATE FOR ROOM TEMPERATURE, LOW PRESSURE MICRO- AND NANO-IMPRINT LITHOGRAPHY
  • the present invention relates to imprint lithography templates. More particularly, to imprint lithography templates for use in micro- and nano-imprint lithography processes.
  • Optical lithography techniques are currently used to make most microelectronic devices. However, it is believed that these methods are reaching their limits in resolution.
  • Sub-micron scale lithography has been a critical process in the microelectronics industry. The use of sub-micron scale lithography allows manufacturers to meet the increased demand for smaller and more densely packed electronic components on chips. It is expected that in the coming years, the microelectronics industry will pursue structures that are smaller than about 50 nm. Further, there are emerging applications of nanometer scale lithography in the areas of opto-electronics and magnetic storage. For example, photonic crystals and high-density patterned magnetic memory of the order of terabytes per square inch require nanometer scale lithography.
  • optical lithography techniques may require the use of very short wavelengths of light (e.g., about 13.2 nm). At these short wavelengths, many common materials may not be optically transparent and therefore imaging systems typically have to be constructed using complicated reflective optics. Furthermore, obtaining a light source that has sufficient output intensity at these wavelengths may be difficult. Such systems may lead to extremely complicated equipment and processes that may be prohibitively expensive. It is believed that high-resolution e-beam lithography techniques, though very precise, may be too slow for high-volume commercial applications.
  • Imprint lithography processes have demonstrated the ability to replicate high-resolution (sub-50nm) images on substrates using templates that contain images as topography on their surfaces. It is believed that imprint lithography may be an alternative to optical lithography for use in patterning substrates in the manufacture of microelectronic devices, optical devices, MEMS, opto-electronics, patterned magnetic media for storage applications, etc. Imprint lithography techniques may be superior to optical lithography for making three- dimensional structures such as micro lenses and T-gate structures.
  • the individual components including the template, substrate, fluid and any other materials that may affect the physical properties of the system, including but not limited to surface energy, interfacial energies, Hamacker constants, Van der Waals' forces, viscosity, density, opacity, etc., should be engineered properly to accommodate a repeatable process. Accordingly, a need exists for a way of controlling the spread of excess fluid outside desired patterning regions that can facilitate production-scale imprint lithography.
  • the embodiments described herein include imprint lithography templates, methods for fo-rming and using imprint lithography templates, and template holders.
  • an imprint lithography template may be substantially transparent to activating light (e.g., ultraviolet light).
  • a template may include a body having a first surface.
  • the template may further include a plurality of recesses on the first surface.
  • the first surface may be substantially planar, parabolic, or spherical. At least a portion of the recesses may have a feature size of less than about 250 nm.
  • the template may further include at least one alignment mark on the body.
  • the template may further include a gap sensing area.
  • the body may be formed in whole, or in part of silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz, indium tin oxide or combinations thereof.
  • at least a portion of the body may be formed of SiO x , where X is less than 2. For example, X may be about 1.5.
  • the plurality of recesses on the first surface may include first recesses, having a first depth; and second recesses, having a second depth.
  • the second depth may be greater than the first depth.
  • the first depth may be less than about 250 nm.
  • the template may include at least one recess on a second surface opposite the first surface.
  • at least a portion of the recesses may have a width that varies in a direction normal to the first surface.
  • Such recesses may be configured to accommodate changes in material properties of a light curable liquid that may be used with the template in an imprint lithography process.
  • the light curable liquid may contract or expand upon curing.
  • a template may include an excess fluid relief structure formed in a portion of the body.
  • a structure may be formed in a kerf area of a template.
  • At least a portion of the first surface of the template may have a surface free energy measured at 25 °C of less than about 40 dynes/cm. In some of these embodiments, the portion of the first surface of the template may have a surface free energy measured at 25 °C of less than about 20 dynes/cm.
  • at least the portion of the first surface may have a surface treatment layer.
  • the surface treatment layer may include a reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water.
  • the surface treatment layer may include a reaction product of tridecafluoro-l,l,2,2-tetrahydrooctyl trichlorosilane with water.
  • the surface treatment layer may reduces the surface free energy of the first surface measured at 25 °C to less than about 40 dynes/cm, or in some cases, to less than about 20 dynes/cm.
  • an alignment mark on the template may be substantially transparent to activating light.
  • the alignment mark may be substantially opaque to analyzing light.
  • the analyzing light may include visible light or infrared light.
  • the alignment mark may be formed of a material different than the material of the body.
  • the alignment mark may include SiO x where x is less than 2.
  • x may be about 1.5.
  • the alignment mark may include a plurality of lines etched on a surface of the body. The lines may be configured to substantially diffuse activating light, but produce an analyzable mark under analyzing light.
  • the template may have a planarity of less than about 500 nm. In some of these embodiments, the template may have a planarity of less than about 250 nm. In some embodiments, the template may include a conductive coating or reflective coating on at least one edge of the body. In other embodiments, the template may include a mirror coupled to at least one edge of the body.
  • the template may include a template blank coupled to the body.
  • the body may be bonded to the template blank using a bonding agent.
  • the template blank and the bonding agent may be substantially transparent to activating light.
  • a gap sensing area may include at least one recess having a known depth.
  • the gap sensing area may be in the first surface or the second surface. In an embodiment, the gap sensing area may have a depth greater than about 100 nm.
  • an imprint lithography template may be formed by obtaining a material that is substantially transparent to activating light and forming a plurality of recesses on a first surface of the material.
  • the method of forming he template may further include forming at least one alignment mark on the material.
  • the plurality of recesses may be formed by etching the material.
  • the alignment mark may be formed using processes including but not limited to optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, or reactive ion etch.
  • the alignment mark may include a plurality of lines formed on the template.
  • the alignment mark may be formed by depositing a second material on the material used to form the template.
  • a method of forming an imprint lithography template may further include shaping the material into a desired shape.
  • the material may be shaped to provide desired dimensions to the template.
  • the desired dimensions may include a predetermined set of template dimensions.
  • the method may include coupling the material to a template blank.
  • the material may be bonded to a template blank using a bonding agent.
  • a surface treatment as previously described may be applied to at least a portion of the first surface of the template.
  • the surface treatment layer may be formed using a vapor-phase reaction process.
  • the material may be placed in a reaction chamber.
  • the reaction chamber may be purged.
  • At least one reactant chemical may be administered into the reaction chamber. It is believed that the at least one reactant chemical may react with water to form the surface treatment layer on at least a portion of the first surface.
  • the reactant chemical may react directly with the surface of the template, with a another chemical present on the first surface, or with itself to form the surface treatment layer.
  • the method may also include applying a reflective coating or a conductive coating to at least one edge of the material.
  • the method may include coupling a mirror to at least one edge of the material.
  • a template may be placed in a template holder.
  • the template holder may include a body, a supporting plate and at least one piezo actuator.
  • the body may have an opening configured to receive an imprint lithography template.
  • the body may be configured to be attached to a template support of an imprint lithography system.
  • the supporting plate may be coupled to the body and may be substantially transparent to activating light.
  • the supporting plate may span the opening in the body in at least one direction.
  • the supporting plate may be formed of materials including but not limited to quartz, sapphire and Si0 2 .
  • the supporting plate may be configured to inhibit deformation of a template disposed within the template holder due to forces present in an imprint lithography process.
  • the at least one piezo actuator may be coupled to the body, and configured to alter a physical dimension of the imprint lithography template during use.
  • a piezo actuator may be configured to apply a compressive or elongating force to a template disposed within the opening.
  • the supporting plate and/or the body may include at least one vacuum opening configured to apply vacuum to a template disposed within the opening and/or the interface of the supporting plate and the body.
  • a mirror or reflective coating may be applied to a surface of the body that faces inside the opening.
  • An imprint lithography template may be used in a method of forming a pattern on a substrate using a patterned template.
  • a method of forming a pattern on a substrate may be accomplished by applying a light curable liquid (e.g., a photoresist material) to a substrate.
  • An imprint lithography template is positioned above the portion of the substrate to which the light curable liquid was applied.
  • the relative position of the template and the substrate may be adjusted such that a gap is created between the patterned template and the substrate.
  • Activating light may be applied through the template to the liquid. Applying the activating light substantially cures the liquid.
  • a pattern of the template is formed in the cured liquid.
  • the template may then be separated from the cured liquid.
  • the method may further include determining the alignment between the patterned template and the substrate.
  • the substrate may include a substrate alignment mark.
  • the alignment between the patterned template and the substrate may be determined by applying a first wavelength of light through the patterned template.
  • the first wavelength of light may cause the substrate alignment mark to be in focus and the template alignment mark to be out of focus with respect to an analysis tool.
  • a second wavelength of light may then be applied through the patterned template.
  • the second wavelength of light may cause the template alignment mark to be in focus and the substrate alignment mark to be out of focus with respect to the analysis tool.
  • the alignment between the patterned template and the substrate may be determined by using a polarizing light alignment tool.
  • a polarizing filter system may be placed between the polarizing light alignment tool and the template.
  • the polarizing filter system may include a first polarizing filter substantially oriented over the substrate alignment mark and a second polarizing filter substantially oriented over the template alignment mark.
  • the polarization of light capable of passing through the first polarization filter is substantially different then the polarization of light capable of passing through the second polarization filter.
  • determining the alignment may be done using a moire pattern detector.
  • determining the alignment between the template and the substrate may include applying an analyzing light to the template.
  • the template may include at least two materials, a first material and a second material.
  • the alignment mark may be formed of the second material.
  • the first and second materials may be substantially transparent to the activating light used to cure the liquid.
  • the second material may produce an analyzable mark with substantial contrast when he analyzing light is applied to the template.
  • the template alignment mark may be substantially transparent to the activating light, but may produce an analyzable mark when the analyzing light is applied to the template.
  • the method of forming a pattern on a substrate using a patterned template may further include adjusting the overlay placement of the patterned template and the substrate. Adjusting the overlay placement includes moving the substrate such that the template alignment mark is substantially aligned with the substrate alignment mark.
  • At least one piezoelectric actuator may be coupled to the patterned template.
  • the at least one piezoelectric actuator may alter the dimensions of the patterned template by applying a force to the template.
  • positioning the patterned template and the substrate in a spaced relationship may include positioning the patterned template over the substrate and moving the patterned template toward the substrate until a desired spaced relationship is achieved.
  • the liquid on the substrate substantially fills the gap as the patterned template is moved toward the substrate.
  • the spaced relationship may be a distance of less than about 200 nm.
  • the patterned template and the substrate may be positioned in a substantially parallel orientation.
  • the template may be positioned over the substrate in a substantially non-parallel position. The template may be moved toward the substrate while remaining in a substantially non-parallel orientation with respect to the substrate. The template may then be oriented in a substantially parallel orientation to the substrate when the template is in a desired spaced relationship to the substrate
  • separating the patterned template from the cured liquid may include moving the template to a substantially non-parallel orientation and moving the patterned template away from the substrate. After separating the patterned template from the cured liquid, the cured liquid may include some features less than about 250 nm in size
  • the method of forming a pattern on a substrate using a patterned template may also include dete ⁇ nining the distance between the patterned template and the substrate.
  • a light based measuring device may be used for this purpose.
  • the method may include applying light to the template and the substrate.
  • the light may include a plurality of wavelengths.
  • Light reflected from a surface of the template and the substrate may be monitored.
  • the distance between the template and the substrate may be determined based on the monitored light.
  • an error signal may be generated.
  • the error signal corresponds to the difference between a desired distance between the template and substrate and the determined distance between the template and substrate.
  • determinations of the distance between the template and the substrate made at 3 or more non-collinear locations may be used to determine whether the template and substrate are substantially parallel. This determination may also be used generate an error signal corresponding to a relative movement between template and the substrate required to bring them into a substantially parallel configuration
  • the substrate may include but is not limited to a dielectric material, silicon, gallium, germanium, indium, quartz, sapphire, silicon dioxide, or polysilicon.
  • the substrate may include one or more layers on the surface of the substrate. In such a case, the method may further include determining a thickness at least one layer on the surface of the substrate.
  • the substrate may also include a transfer layer formed on the surface of the substrate. In such a case, the method may further include etching the transfer layer after separating the template from the cured liquid. Etching the transfer layer may impart the pattern to the transfer layer
  • the templates and methods described above may for example, be used to form a semiconductor device, an optical device, a photonic device, a magnetic storage device or thin film head, a display device, etc.
  • Figure 3 depicts a process flow chart showing the sequence of steps of the imprint lithography process
  • Figure 4 depicts a bottom view of a patterned template
  • Figure 5 depicts a cross-sectional view of a template positioned over a substrate
  • Figure 6 depicts a cross sectional view of a process of making a template having multiple depths
  • Figure 8 depicts cross-sectional views of patterned templates
  • Figure 12 depicts undesirable fluid dispensing patterns used in an imprint lithographic process
  • Figure 13 depicts a fluid pattern including a plurality of drops that may not trap air bubbles after gap closing
  • Figure 14 depicts a schematic of an alternate apparatus for dispensing a fluid during an imprint lithographic process
  • Figure 17 depicts a projection view of an alternate substrate support system
  • Figure 18 is a schematic diagram of a 3-link chain connected by flexure joints and joined to a block translating in the X-direction;
  • Figure 19 is a schematic diagram of an alternate 3- link chain connected by flexure joints and joined to a block translating in the X-direction;
  • Figure 20 is a projection view of a magnetic linear servo motor
  • Figure 24 depicts a measuring device positioned over a template and substrate
  • Figure 27 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks using polarized filters
  • Figure 28 depicts a schematic view of a capacitive template placement measuring device
  • Figure 29 depicts a schematic view of a laser interferometer-based template placement measuring device
  • Figure 30 depicts a scheme for detem-ining alignment with a gap between the template and substrate when the gap is partially filled with fluid
  • Figure 31 depicts an alignment mark that includes a plurality of etched lines
  • Figure 32 depicts a projection view of an orientation stage
  • Figure 33 depicts an exploded view of the orientation stage
  • Figure 35 depicts a cross sectional view of a technique for determining the gap between two materials
  • Figure 36 depicts a graphical representation for determining local nnnimum and maximum of a gap
  • Figure 37 depicts a template with gap measuring recesses
  • Figure 38 depicts a schematic for using a spectrometer to measure a gap between a template and spectrometer;
  • Figure 39 depicts a schematic for probing the gap between a template and a substrate using probes
  • Figure 40 depicts a cross-sectional view of an imprint lithographic process including pre-existing topography
  • Figure 41 depicts a schematic of a process for illuminating a template to detemiine the presence of a wedge between the template and substrate;
  • Figure 42 depicts a projection view of flexure members
  • Figure 43 depicts a first and second flexure member assembled for use
  • Figure 44 depicts a projection view of the bottom of an orientation stage
  • Figure 45 depicts a schematic view of a flexure arm holding a template
  • Figure 47 depicts a scheme for forming a vacuum chuck
  • Figure 48 depicts various views of a vacuum chuck for holding a substrate
  • Figure 49 depicts a scheme for removing a template from a substrate after curing
  • Figure 50 depicts an alternative scheme for removing a template from a substrate after curing
  • Figure 51 depicts a schematic view of a template support system
  • Figure 52 depicts a side view of a gap between a template and a substrate.
  • Embodiments presented herein generally relate to systems, devices, and related processes of manufacturing small devices. More specifically, embodiments presented herein relate to systems, devices, and related processes of imprint lithography. For example, these embodiments may have application to imprinting very small features on a substrate, such as a semiconductor wafer. It should be understood that these embodiments may also have application to other tasks, for example, the manufacture of cost-effective Micro-Electro-Mechanical Systems (or MEMS). Embodiments may also have application to the manufacture of other kinds of devices including, but not limited to: patterned magnetic media for data storage, micro-optical devices, biological and chemical devices, X-ray optical devices, etc.
  • a template 12 predisposed with respect to a substrate 20 upon which desired features are to be imprinted using imprint lithography.
  • the template 12 may include a surface 14 fabricated to take on the shape of desired features, which in turn, may be transferred to the substrate 20.
  • a “feature size" generally refers to a width or depth of one of a desired features.
  • a transfer layer 18 may be placed between the substrate 20 and the template 12. Transfer layer 18 may receive the desired features from the template 12 via imprinted layer 16. As is well known in the art, transfer layer 18 may allow one to obtain high aspect ratio structures (or features) from low aspect ratio imprinted features.
  • an average gap of about 200nm or less with a variation of less than about 50nm across the imprinting area of the substrate 20 may be required for the imprint lithography process to be successful.
  • Embodiments presented herein provide a way of controlling the spacing between the template 12 and substrate 20 for successful imprint lithography given such tight and precise gap requirements.
  • Figures 1A and IB illustrate two types of problems that may be encountered in imprint lithography.
  • a wedge shaped imprinted layer 16 results because that the template 12 is closer to the substrate 20 at one end of the imprinted layer 16.
  • Figure 1A illustrates the importance of maintaining template 12 and substrate 20 substantially parallel during pattern transfer.
  • Figure IB shows the imprinted layer 16 being too thick. Both of these conditions may be highly undesirable.
  • Embodiments presented herein provide systems, processes and related devices which may eliminating the conditions illustrated in Figures 1 A and IB as well as other orientation problems associated with prior art lithography techniques.
  • Figures 2A through 2E illustrate an embodiment of an imprint lithography process, denoted generally as 30.
  • template 12 may be orientated in spaced relation to the substrate 20 so that a gap 31 is formed in the space separating template 12 and substrate 20.
  • Surface 14 of template 12 may be treated with a thin layer 13 that lowers the template surface energy and assists in separation of template 12 from substrate 20.
  • the mariner of orientation and devices for controlling gap 31 between template 12 and substrate 20 are discussed below.
  • gap 31 may be filled with a substance 40 that conforms to the shape of treated surface 14.
  • substance 40 may be dispensed upon substrate 20 prior to moving template 12 into a desired position relative to substrate 20.
  • Substance 40 may form an imprinted layer such as imprinted layer 16 shown in Figures 1A and IB.
  • substance 40 may be a liquid so that it may fill the space of gap 31 rather easily and quickly without the use of high temperatures and the gap can be closed without requiring high pressures. Further details regarding appropriate selections for substance 40 are discussed below.
  • a curing agent 32 may be applied to the template 12 causing substance 40 to harden and assume the shape of the space defined by gap 31.
  • desired features 44 ( Figure 2D) from the template 12 may be transferred to the upper surface of the substrate 20.
  • Transfer layer 18 may be provided directly on the upper surface of substrate 20. Transfer layer 18 may facilitate the amplification of features transferred from the template 12 to generate high aspect ratio features.
  • template 12 may be removed from substrate 20 leaving the desired features 44 thereon.
  • the separation of template 12 from substrate 20 must be done so that desired features 44 remain intact without shearing or tearing from the surface of the substrate 20.
  • Embodiments presented herein provide a method and associated system for peeling and pulling (referred to herein as the "peel-and-pull" method) template 12 from substrate 20 following imprinting so that desired feature 44 remain intact.
  • FIG. 2E features 44 transferred from template 12 to substance 40 may be amplified in vertical size by the action of the transfer layer 18 as is known in the use of bi-layer resist processes.
  • the resulting structure may be further processed to complete the manufacturing process using well-known techniques.
  • Figure 3 summarizes an embodiment of an imprint lithography process, denoted generally as 50, in flow chart form.
  • course orientation of a template and a substrate may be performed so that a rough alignment of the template and substrate may be achieved.
  • An advantage of course orientation at step 52 may be that it may allow pre-calibration in a manufacturing environment, where numerous devices are to be manufactured, with efficiency and with high production yields.
  • course alignment may be performed once on the first die and applied to all other dies during a single production run. In this way, production cycle times may be reduced and yields may be increased.
  • a substance may be dispensed onto the substrate.
  • the substance may be a curable organosilicon solution or other organic liquid that may become a solid when exposed to activating light.
  • the fact that a liquid is used may eliminate the need for high temperatures and high pressures associated with prior art lithography techniques.
  • the spacing between the template and substrate may be controlled so that a relatively uniform gap may be created between the two layers permitting the precise orientation required for successful imprinting.
  • Embodiments presented herein provide a device and system for achieving the orientation (both course and fine) required at step 56.
  • the gap may be closed with fine vertical motion of the template with respect to the substrate and the substance.
  • the substance may be cured (step 59) resulting in a hardening of the substance into a form having the features of the template.
  • the template may be separated from the substrate, step 60, resulting in features from the template being imprinted or transferred onto the substrate.
  • the structure may be etched, step 62, using a preliminary etch to remove residual material and a well-known oxygen etching technique to etch the transfer layer.
  • a template may incorporate unpatterned regions i) in a plane with the template surface, ii) recessed in the template, iii) protrude from the template, or iv) a combination of the above.
  • a template may be manufactured with protrusions, which may be rigid. Such protrusions may provide a uniform spacer layer useful for particle tolerance and optical devices such as gratings, holograms, etc. Alternately, a template may be manufactured with protrusions that are compressible.
  • a template may have a rigid body supporting it via surface contact from: i) the sides, ii) the back, iii) the front or iv) a combination of the above.
  • the template support may have he advantage of limiting template deformation or distortion under applied pressure.
  • a template may be coated in some regions with a reflective coating.
  • the template may incorporate holes in the reflective coating such that light may pass into or through the template. Such coatings may be useful in locating the template for overlay corrections using interferometry. Such coatings may also allow curing with a curing agent sources that illuminates through the sides of the template rather than the top.
  • Exposure of the template may be performed: i) at normal incidences to the template, ii) at inclined angles to the template, or iii) through a side surface of the template.
  • a template that is rigid may be used in combination with a flexible substrate.
  • the template may be manufactured using optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scamiing probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, reactive ion etch or a combination of the above.
  • the template may be formed on a substrate having a flat, parabolic, spherical, or other surface topography.
  • the template may be used with a substrate having a flat, parabolic, spherical, or other surface topography.
  • the substrate may contain a previously patterned topography and/or a film stack of multiple materials.
  • the template may be manufactured with a multi-depth design. That is, various features of the template may be at different depths with relation to the surface of the template.
  • entrainment channel 402 may have a depth greater than patterning area 401.
  • An advantage of such an embodiment may be that accuracy in sensing the gap between the template and substrate may be improved. Very small gaps (e.g., less than about 100 nm) may be difficult to sense; therefore, adding a step of a known depth to the template may enable more accurate gap sensing.
  • the term "gap sensing area” generally refers to a feature on a surface of a template that has a known depth which is greater than about 100 nm.
  • An advantage of a dual-depth design may be that such a design may enable using a standardized template holder to hold an imprint template of a given size which may include dies of various sizes.
  • a third advantage of a dual-depth design may enable using the peripheral region to hold the template. In such a system, all portions of the template and substrate interface having functional structures may be exposed to the curing agent. As depicted in Fig. 5, a template 500 with the depth of the peripheral region 501 properly designed may abut adjacent imprints 502, 503. Additionally, the peripheral region 501 of imprint template 500 may remain a safe vertical distance away from imprints 503.
  • a dual-depth imprint template may be fabricated using various methods.
  • a single, thick substrate 601 may be formed with both a high-resolution, shallow- depth die pattern 602, and a low-resolution, large-depth peripheral pattern 603.
  • a dual-depth imprint template as described above, may be fabricated using various methods.
  • a single, thick substrate 601 may be formed with both a high-resolution, shallow- depth die pattern 602, and a low-resolution, large-depth peripheral pattern 603.
  • a dual-depth imprint template as described above, may be fabricated using various methods.
  • a single, thick substrate 601 may be formed with both a high-resolution, shallow- depth die pattern 602, and a low-resolution, large-depth peripheral pattern 603.
  • a thin substrate 702 (e.g., quartz wafer) may be formed having a high-resolution, shallow-depth die pattern 701. Die pattern 701 may then be cut from substrate 702. Die pattern 701 may then be bonded to a thicker substrate 703.
  • thicker substrate 703 may be generally referred to as a "template blank.”
  • a template blank may be sized to fit into an imprint template holder on an imprint machine. This bonding may be preferably achieved using an adhesive 704 with an index of refraction of the curing agent (e.g., activating light) similar to that of the template material.
  • a template may include recessed regions which may be used for gap measurement and or entrainment of excess fluid.
  • a template may include a mechanism for controlling fluid spread that is based on the physical properties of the materials as well as geometry of the template. The amount of excess fluid which may be tolerated without causing loss of substrate area may be limited by the surface energies of the various materials, the fluid density and template geometry. Accordingly, a relief structure may be used to confine the fluid within the desired molding or patterning area. This region may generally be referred to as the "kerf.” The relief structure in the kerf may be recessed into the template surface using standard processing techniques used to construct the pattern or mold relief structure, as discussed above.
  • Figure 9 depicts an example of an imprint formed without accounting for material property changes 901, and an imprint formed accounting for changes in material properties 902.
  • a template with features having a substantially rectangular profile 904 may be subject to deformations due to material shrinkage during curing. To compensate for such material shrinkage, template features may be provided with an angled profile 905.
  • a durable template may be formed of a silicon or silicon dioxide substrate.
  • suitable materials may include, but are not limited to: silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz or combinations thereof.
  • Templates may also include materials used to form detectable features, such as alignment markings.
  • detectable features may be formed of SiO x , where X is less than 2. In some embodiments, X may be about 1.5. It is believed that this material may be opaque to analyzing light, but transparent to some activating light wavelengths.
  • "analyzing light” may generally refer to light used in measurement processes described herein (e.g., gap sensing, determination of alignment, etc.) In various embodiments, analyzing light may include visible light or infrared light.
  • the durability of the template may be improved by treating the template to form a thin layer on the surface of the template.
  • an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane layer may be formed on the surface, in particular tridecafluoro-l,l,2,2-tetrahydrooctyl trichlorosilane (C 5 F 13 C 2 H 4 SiCl 3 ) may be used.
  • Such a treatment may form a self-assembled monolayer (SAM) on the surface of the template.
  • SAM self-assembled monolayer
  • a surface treatment process may be optimized to yield low surface energy coatings. Such a coating may be used in preparing imprint templates for imprint lithography. Treated templates may have desirable release characteristics relative to untreated templates. For example, newly-treated templates may possess surface free energies, -W eated of about 14 dynes/cm. Untreated template surfaces may possess surface free energies, . eated about 65 dynes/cm. A treatment procedure disclosed herein may yield films exhibiting a high level of durability.
  • Durability may be highly desirable since it may lead to a template that may withstand numerous imprints in a manufacturing setting.
  • a coating for the template surface may be formed using either a liquid-phase process or a vapor-phase process.
  • the substrate may be immersed in a solution of precursor and solvent.
  • a precursor may be delivered via an inert carrier gas. It may be difficult to obtain a purely anhydrous solvent for use in a liquid-phase treatment. Water in the bulk phase during treatment may result in clump deposition, which may adversely affect the final quality or coverage of the coating.
  • the template may be placed in a vacuum chamber, after which the chamber may be cycle- purged to remove excess water. Some adsorbed water may remain on the surface of the template. A small amount of water may be needed to complete a surface reaction which forms the coating. It is believed that the reaction may be described by the formula:
  • the template may be brought to a desired reaction temperature via a temperature-controlled chuck.
  • the precursor may then be fed into the reaction chamber for a prescribed time.
  • Reaction parameters such as template temperature, precursor concentration, flow geometries, etc. may be tailored to the specific precursor and template substrate combination.
  • substance 40 may be a liquid so that it may fill the space of gap 31.
  • substance 40 may be a low viscosity liquid monomer solution.
  • a suitable solution may have a viscosity ranging from about 0.01 cps to about 100 cps (measured at 25 degrees C).
  • Low viscosities are especially desirable for high-resolution (e.g., sub-lOOnm) structures. Low viscosities may also lead to faster gap closing. Additionally, low viscosities may result in faster liquid filling of the gap area at low pressures.
  • the viscosity of the solution should be at or below about 25 cps, or more preferably below about 5 cps (measured at 25 degrees C).
  • a suitable solution may include a mixture of 50% by weight n-butyl acrylate and 50% SIA 0210.0 (3-ac-ryoloxypropyltristrimethylsiloxane)silane. To this solution may be added a small percentage of a polymerization initiator (e.g., a photoinitiator). For example, a 3% by weight solution of a 1 : 1 Irg 819 and Irg 184 and 5% of SIB 1402.0 may be suitable. The viscosity of this mixture is about 1 cps.
  • a polymerization initiator e.g., a photoinitiator
  • an imprint lithography system may include automatic fluid dispensing method and system for dispensing fluid on the surface of a substrate (e.g., a semiconductor wafer).
  • the dispensing method may use a modular automated fluid dispenser with one or more extended dispenser tips.
  • the dispensing method may use an X-Y stage to generate relative lateral motions between the dispenser tip and the substrate.
  • the method may eliminate several problems with imprint lithography using low viscosity fluids. For example, the method may eliminate air bubble trapping and localized deformation of an imprinting area.
  • Embodiments may also provide a way of achieving low imprinting pressures while spreading the fluid across the entire gap between the imprinting template and the substrate, without unnecessary wastage of excess fluid.
  • a dispensed volume may typically be less than about 130nl (hano-liter) for a linch 2 imprint area.
  • a curing agent e.g., activating light
  • Separation of the template from the substrate may leave a transferred image on top of the imprinted surface.
  • the transferred image may lie on a thin layer of remaining exposed material.
  • the remaining layer may be referred to as a "base layer.”
  • the base layer should be thin and uniform for a manufacturable imprint. A thin and uniform base layer may assist in the break-through etch needed to eliminate the base layer while retaining the imprinted structure.
  • Imprint processes may involve high pressures and/or high temperatures applied at the template and substrate interface.
  • high pressures and temperatures should be avoided.
  • Embodiments disclosed herein avoid the need for high temperature by using low viscosity photo-curable fluids.
  • imprinting pressures may be minimized by reducing squeezing force required to spread the fluid across the entire imprinting area. Therefore, for the purpose of fluid based imprint lithography, a fluid dispense process should satisfy the following properties: 1. No air bubble should be trapped between template and substrate;
  • Non-uniform fluid buildup and/or pressure gradients should be minimized to reduce non-uniform localized deformation of template-substrate interface; and 5. Waste of the dispensed fluid should be minimized
  • relative motion between a displacement based fluid dispenser tip and a substrate may be used to form a pattern with substantially continuous lines on an imprinting area. Size of the cross section of the line and the shape of the line may be controlled by balancing rates of dispensing and relative motion.
  • dispenser tips may be fixed near (e.g., on the order of tens of microns) the substrate. Two methods of forming a line pattern are depicted in Figures 10A and 10B. The pattern depicted in Figures 10A and
  • a displacement based fluid delivery system may include: a fluid container 1101, an inlet tube 1102, an inlet valve 1103, an outlet valve 1104, a syringe 1105, a syringe actuator 1106, a dispenser tip 1107, an X stage actuator 1109, a Y stage actuator 1110, a dispenser controller 1111, an XY stage controller 1112, and a main control computer 1113.
  • a suitable displacement based dispenser may be available from the Hamilton Company.
  • Figure 12 illustrates several undesirable fluid patterns or dispensing methods for low viscosity fluids. These dispensing patterns may lead to one or more problems, including: trapping air bubbles, localized deformations, and waste of fluid. For example, dispensing a single drop at the center of the imprinting area 1201, or dispensing irregular lines 1205 may lead to localized deformations of the template and/or substrate. Dispensing several drops 1202, or lines 1206 in a circumferential pattern may lead to trapping of air bubbles. Other dispensing patterns with nearly closed circumferential patterns 1204 may similarly lead to air bubble trapping. Likewise, spraying or random placement of droplets 1203 may lead to trapping of air bubbles.
  • a fluid dispensing method may dispense multiple small drops of liquid that may later be formed into a continuous body as they expand.
  • Figures 13 depicts the case of using five drops of liquid. Here, five drops are used only for the purpose of illustration. Other non-bubble forming patterns, such as a sinusoidal line, a 'W', or an 'X' may be implemented using this method. As the template-substrate gap decreases, circular drops 1301 may become thinner and wider causing neighboring drops to merge together 1302.
  • the expanding liquid may expel air from the gap between the template and substrate.
  • a pattern effective for use in this method should be dispensed in such a way that as droplets expand, they do not trap any air between the template and substrate.
  • micro-solenoid valves with a pressure-supporting unit.
  • Another type of the liquid dispensing actuator may include a piezo-actuated dispenser.
  • Advantages of a system with a micro-solenoid valve dispenser as compared to a displacement based fluid dispenser may include faster dispensing time and more accurate volume control. These advantages may be especially desirable for larger size imprints (e.g., several inches across).
  • An embodiment of a system including micro-solenoid valves is depicted in Figure 14.
  • the system may include: fluid container 1401, an inlet tube 1402, an inlet valve 1403, a pump 1404, an outlet valve 1405, a pump controller 1406, a micro-solenoid valve 1407, a micro-solenoid valve controller 1408, an X-Y stage 1409, an X-Y stage controller 1410, and a main computer 1412.
  • a substrate 1411 may be placed on X-Y stage 1409.
  • Micro valve dispensers and piezo drop-on-demand dispensers are available from various print head manufacturers.
  • stage 1700 may have a foot print of about 29 inches by 29 inches and a height of about 15 inches (including a wafer chuck). Stages 1600 and 1700 differ mainly in that additional linkages 1701 are oriented vertically.
  • an X-Y stage may include two types of components: actuation components and load-carrying components.
  • Lead screw assembly mechanisms have been widely used where the positioning accuracy is not a very significant factor.
  • ball screw assemblies have been used for both the actuating and load-carrying components. Both of these designs may be prone to problems of backlash and stiction. Further, the need for lubrication may make these designs undesirable for use in vacuum or in particle-sensitive applications
  • FIG. 18 shows a schematic of portion of a basic linkage 1800.
  • Link 1 (1804) and link 3 (1805) may be of the same length.
  • all of the joints in linkage 1800 rotate by the same absolute angle.
  • the motion range may be independent of the length of link 2 (1803). Due to kinematic constraints, link 2 (1803) may remain parallel to a line between joint 1 (1806) and joint 4 (1807).
  • the range of motion, / m may be given as:
  • ⁇ 0 is the angle of joint 1 (1806) when all flexure joints are in their equilibrium conditions
  • a ⁇ is the maximum rotation range of the flexure pivots
  • d ⁇ is the length of links 1 and 3, 1804 and 1805.
  • the minimum link length for a 12 inch motion range is 6 inches.
  • the contact surface here may be relatively small, and relatively easy to maintain.
  • disks 1902 are not depicted in relation to X-Y stages 1600, and 1700, disks 1902 may be present in some embodiments.
  • Links 1602 and 1601 in Fig. 16 may correspond to links 1908 and 1906 of Fig.
  • disks 1902 may be present at location 1603 (as well as other locations not visible in the Figure 16). Referring to Figure 17, disks 1902 may be present at location 1702 (as well as other locations not visible in Figure 17).
  • two linear servo motors may be suitable.
  • One linear servo motor may serve each translation axis.
  • Suitable linear servo motors may be available from the Trilogy Systems Corporation.
  • An advantage of such linear servo motors may be the absence of frictional contact.
  • Another advantage of such linear servo motors may be the fact that they may readily produce actuation forces greater than about 100 pounds. Therefore, actuation components may provide only translational motion control in the X and Y directions.
  • the actuator of the lower stage might need to be more powerful than the actuator of the upper stage.
  • laser interferometers may provide a feedback signal to control X and Y positioning of the X-Y stage. It is believed that laser interferometry may provide nm level positioning control.
  • Placement errors can be compensated using laser interferometers and high-resolution X-Y stages (such as X-Y stage 1700, depicted in Fig. 17). If the orientation alignments between the template and substrate are independent from X-Y motions the placement error may need to be compensated only once for an entire substrate wafer (i.e., "global overlay"). If orientation alignments between the template and substrate are coupled with X-Y motions and/or excessive local orientation variations on substrate exist, then X-Y position changes of the template relative to the substrate may need to be compensated for (i.e., field-to-field overlay). Overlay alignment issues are further discussed with regard the overlay alignment section. Figures 21 and 22 provide global and field-to-field overlay error compensation algorithms, respectively.
  • orientation of template and substrate may be achieved by a pre-calibration stage (automatically, using actuators or manual, using micrometers) and a fine orientation stage, which may be active or passive. Either or both of these stages may include other mechanisms, but flexure-based mechanisms may be preferred in order to avoid particles.
  • the calibration stage may be mounted to a frame, and the fine orientation stage may be mounted to the pre-calibration stage. Such an embodiment may thereby form a serial mechanical arrangement.
  • a fine orientation stage may include one or more passive compliant members.
  • a "passive compliant member” may generally refer to a member that gets its motion from compliance.
  • Compliant members apparatus are disclosed in U.S. Patents 4,414,750 to De Fazio; 4,337,579 to De Fazio; 4,155,169 to Drake et al; 4,355,469 to Nevins et al.; 4,202,107 to Watson; and 4,098,001 to Watson; each ofwhich are incorporated by reference as if fully set forth herein. That is, motion may be activated by direct or indirect contact with the liquid. If the fine orientation stage is passive, then it may be designed to have the most dominant compliance about two orientation axes.
  • the two orientation axes may be orthogonal and may lie on the template lower surface (as described with referenced to Figure 43).
  • the two orthogonal torsional compliance values may typically be the same for a square template.
  • the fine orientation stage may be designed such that when the template is non-parallel with respect to the substrate, as it makes contact with the liquid, the resulting uneven liquid pressure may rapidly correct the orientation error. In an embodiment, the correction may be affected with minimal or no overshoot.
  • a fine orientation stage as described above may hold the substantially parallel orientation between the template and substrate for a sufficiently long period to allow curing of the liquid.
  • a fine orientation stage may include one or more actuators.
  • piezo actuators as described with reference to Figure 46 may be suitable.
  • the effective passive compliance of the fine orientation stage coupled with the pre-calibration stage should still be substantially about the two orientation axes.
  • the geometric and material parameters of all the structural and active elements together may contribute to this effective passive stiffness.
  • piezo actuators may also be compliant in tension and compression.
  • the geometric and material parameters may be synthesized to obtain the desired torsional compliance about the two orientation axes.
  • a simple approach to this synthesis may be to make the compliance of the actuators along their actuation direction in the fine orientation stage higher than the structural compliances in the rest of the stage system. This may provide passive self-correction capability when a non-parallel template comes into contact with the liquid on the substrate. Further, this compliance should be chosen to allow for rapidly correcting orientation errors, with minimal or no overshoot.
  • the fine orientation stage may hold the substantially parallel orientation between the template and substrate for sufficiently long period to allow curing of the liquid.
  • Overlay alignment schemes may include measurement of alignment errors followed by compensation of these errors to achieve accurate alignment of an imprint template, and a desired imprint location on a substrate.
  • the measurement techniques used in proximity lithography, x-ray lithography, and photolithography e.g., laser interferometry, capacitance sensing, automated image processing of overlay marks on the mask and substrate, etc.
  • photolithography e.g., laser interferometry, capacitance sensing, automated image processing of overlay marks on the mask and substrate, etc.
  • a method and system of overlay alignment using a stored image is disclosed in U.S. Patent 5,204,739, which is incorporated by reference as if fully set forth herein.
  • Types of overlay errors for lithography processes may include placement error, theta error, magnification error, and mask distortion error.
  • An advantage of embodiments disclosed herein may be that mask distortion errors may not be present because the disclosed processes may operate at relatively low temperatures (e.g., room temperature) and low pressures. Therefore, these embodiments may not induce significant distortion.
  • these embodiments may use templates that are made of a relatively thick substrate. This may lead to much smaller mask (or template) distortion errors as compared to other lithography processes where masks are made of relatively thin substrates.
  • the entire area of the templates for imprint lithography processes may be transparent to the curing agent (e.g., UV light), which may minimize heating due to absorption of energy from the curing agent.
  • Placement error may generally refer to X-Y positioning errors between a template and substrate (that is, translation along the X and or Y-axis).
  • Theta error may generally refer to the relative orientation error about Z-axis (that is, rotation about the Z-axis).
  • Magnification error may generally refer to thermal, optical or material induced shrinkage or expansion of the imprinted area as compared to the original patterned area on the template.
  • orientation alignment for gap control purposes between a template and substrate corresponding to the angles ⁇ and ⁇ in Figure 23 may need to be performed frequently if excessive field- t ⁇ -field surface variations exist on the substrate. In general, it is desirable for the variation across an imprinting area to be smaller than about one-half of the imprinted feature height. If orientation alignments are coupled with the X- Y positioning of the template and substrate, field-to-field placement error compensations may be necessary. However, embodiments of orientation stages that may perform orientation alignment without inducing placement errors are presented herein.
  • Photolithography processes that use a focusing lens system may position the mask and substrate such that it may be possible to locate the images of two alignment marks (one on the mask and the other on the substrate) onto the same focal plane. Alignment errors may be induced by looking at the relative positioning of these alignment marks.
  • the template and substrate maintain a relatively small gap (of the order of micro meters or less) during the overlay error measurement. Therefore, overlay error measurement tools may need to focus two overlay marks from different planes onto the same focal plane. Such a requirement may not be critical for devices with features that are relatively large (e.g., about 0.5 ⁇ m).
  • overlay error measurement and error compensation methods for imprint lithography processes should satisfy the following requirements:
  • Overlay error measurement tools should be able to focus on two overlay marks that are not on the same plane
  • Overlay error correction tools should be able to move the template and substrate relatively in X and Y in the presence of a thin layer of fluid between the template and substrate;
  • Overlay error correction tools should be able to compensate for theta error in the presence of a thin layer of fluid between the template and substrate;
  • Overlay error correction tools should be able to compensate for magnification error.
  • the first requirement presented above can be satisfied by i) moving an optical imaging tool up and down (as in US Patent 5,204,739) or ii) using illumination sources with two different wavelengths.
  • knowledge of the gap measurement between the template and the substrate is useful, especially for the second method.
  • the gap between the template and substrate may be measured using one of existing non-contact film thickness measurement tools including broad-band interferometry, laser interferometry and capacitance sensors.
  • Figure 24 illustrates the positions of template 2400, substrate 2401, fluid 2403, gap 2405 and overlay error measurement tools 2402. The height of a measuring tool may be adjusted 2406 according to the gap information to acquire two overlay marks on the same imaging plane.
  • an image storing 2407 device may be required.
  • the positioning devices of the template and wafer should be vibrationally isolated from the up and down motions of the measuring device 2402. Further, when scanning motions in X-Y directions between the template and substrate are needed for high resolution overlay alignment, this approach may not produce continuous images of the overlay marks. Therefore, this approach may be adapted for relatively low- resolution overlay alignment schemes for the imprint lithography process.
  • Figure 25 illustrates an apparatus for focusing two alignment marks from different planes onto a single focal plane.
  • Apparatus 2500 may use the change of focal length resulting from light with distinct wavelengths being used as the illumination sources.
  • Apparatus 2500 may include an image storage device 2503, and illumination source (not shown), and a focusing device 2505.
  • Light with distinct wavelengths may be generated either by using individual light sources or by using a single broad band light source and inserting optical band-pass filters between the imaging plane and the alignment marks.
  • a different set of two wavelengths may be selected to adjust the focal lengths.
  • each overlay mark may produce two images on the imaging plane as depicted in Figure 26.
  • a first image 2601 may be a clearly focused image.
  • a second image 2602 may be an out-of-focus image. In order to eliminate each out-of-focus image, several methods may be used.
  • two images may be received by an imaging array (e.g., a CCD array). Images which may be received are depicted in Figure 26 and generally referenced by numeral 2604.
  • Image 2602 may correspond to an overlay alignment mark on the substrate.
  • Image 2601 may correspond to an overlay alignment mark on the template.
  • image 2602 is focused, image 2601 may be out of focus, and visa-versa.
  • an image processing technique may be used to erase geometric data corresponding to pixels associated with image 2602.
  • image 2605 and 2606 may be formed on the imaging array. The procedure may eliminate out of focus image 2606.
  • image 2605 may remain.
  • the two remaining focused images 2601 and 2605 may then be combined onto a single imaging plane 2603 for making overlay error measurements.
  • overlay errors may be caused by the non-ideal vertical motion between the template and substrate if the gap is too large. Therefore, an optimal gap between the template and substrate should to be determined, where the overlay error measurements and corrections may be performed.
  • Placement errors may be compensated for using capacitance sensors or laser interferometers to locate the substrate on a high-resolution X-Y stage, and high-resolution motion of these X-Y stages.
  • placement error may need to be compensated for only once for an entire substrate (e.g., a semiconductor wafer). Such a method may be referred to as a "global overlay.” If orientation alignments between the template and substrate are coupled with X-
  • the magnification error may be compensated for by carefully controlling the temperature of the substrate and the template. Using the difference of the thermal expansion properties of the substrate and template, the size of pre-existing patterned areas on the substrate may be adjusted to that of a new template. However, it is believed that the magnification error may be much smaller in magnitude than placement error or theta error when an imprint Hthography process is conducted at room temperature and low pressures. Magnification error may also be compensated for by using stress-based methods as disclosed herein. The theta error may be compensated for using a theta stage that has been widely used for photolithography processes.
  • Theta error may be compensated for by using two separate alignment marks that are separated by a sufficiently large distance to provide a high-resolution theta error estimate.
  • the theta error may be compensated for when the template is positioned a few microns or less apart from the substrate prior to curing the liquid.
  • the template overlay marks may typically not include opaque lines. Rather, the template overlay marks may be topographical features of the template surface. In some embodiment, the marks may be made of the same material as the template.
  • UV curable liquids may tend to have refractive indices that are similar to those of the template materials (e.g., quartz). Therefore, when the UV curable liquid fills the gap between the template and the substrate, template overlay marks may become very difficult to recognize. If the template overlay marks are made with an opaque material (e.g., chromium), the UV curable liquid below the overlay marks may not be properly exposed to the UV light, which is highly undesirable.
  • a first method uses an accurate liquid dispensing system along with high-resolution gap controlling stages. Suitable liquid dispensing systems and the gap controlling stages are disclosed herein. For the purpose of illustration, three steps of an overlay alignment are depicted in Figure 30. The locations of the overlay marks and the patterns of the fluid depicted in Figure 30 are only for the purpose of illustration and should not be construed in a limiting sense. Various other overlay marks, overlay mark locations, and/or liquid dispense patterns are also possible.
  • a liquid 3003 may be dispensed onto substrate 3002.
  • step 3004 using the high-resolution orientation stage, the gap between template 3005 and substrate 3002 may be carefully controlled so that the dispensed fluid 3003 does not fill the gap between the template and substrate completely. It is believed that at step 3004, the gap may be only slightly larger than the final imprinting gap. Since most of the gap is filled with the fluid, overlay correction can be performed as if the gap were completely filled with the fluid. The overlay marks may be placed such that the liquid does not cover them in this first position. Upon the completion of the overlay correction, the gap may be closed to a final imprinting gap (step 3006). This may enable spreading of the liquid into the remaining imprint area, including covering the alignment marks.
  • the line width of polarizing lines 3101 should be small enough so that activating light passing between two lines is diffracted sufficiently to cause curing of all the liquid below the lines.
  • the activating light may be polarized according to the polarization of overlay marks 3102.
  • Polarizing the activating light may provide a relatively uniform exposure to all the template regions including regions having overlay marks 3102.
  • Analyzing light used to locate overlay marks 3102 on the template may be broadband light or a specific wavelength that may not cure the liquid material. This light need not be polarized.
  • Polarized lines 3101 may be substantially opaque to the analyzing light, thus making the overlay marks visible using established overlay error measuring tools. Fine polarized overlay marks may be fabricated on the template using existing techniques, such as electron beam lithography.
  • overlay marks may be formed of a different material than the template.
  • a material selected to form the template overlay marks may be substantially opaque to analyzing light (e.g., visible light), but transparent to activating light used as the curing agent (e.g., UV light).
  • analyzing light e.g., visible light
  • activating light used as the curing agent e.g., UV light
  • SiO x where X is less than 2 may form such a material.
  • structures formed of SiO x where X is about 1.5 may be substantially opaque to visible light, but transparent to UV light.
  • Figure 32 depicts an assembly of a system, denoted generally as 100, for calibrating and orienting a template, such as template 12, about a substrate to be imprinted, such as substrate 20.
  • System 100 may be utilized in a machine, such as a stepper, for mass fabrication of devices in a production environment using imprint lithography processes as described herein. As shown, system 100 may be mounted to a top frame 110 which may provide support for a housing 120. Housing 120 may contain the pre-calibration stage for course alignment of a template 150 about a substrate (not shown in Figure 32).
  • Housing 120 may be coupled to a middle frame 114 with guide shafts 112a, 112b attached to middle frame 114 opposite housing 120.
  • three (3) guide shafts may be used (the back guide shaft is not visible in Figure 32) to provide a support for housing 120 as it slides up and down during vertical translation of template 150.
  • Sliders 116a and 116b attached to corresponding guide shafts 112a, 112b about middle frame 114 may facilitate this up and down motion of housing 120.
  • System 100 may include a disk-shaped base plate 122 attached to the bottom portion of housing 120.
  • Base plate 122 may be coupled to a disk-shaped flexure ring 124.
  • Flexure ring 124 may support the lower placed orientation stage included of first flexure member 126 and second flexure member 128.
  • the operation and configuration of the flexure members 126, 128 are discussed in detail below.
  • the second flexure member 128 may include a template support 130, which may hold template 150 in place during the imprinting process.
  • template 150 may include a piece of quartz with desired features imprinted on it. Template 150 may also include other substances according to well-known methods.
  • actuators 134a, 134b, 134c may be fixed within housing 120 and operable coupled to base plate 122 and flexure ring 124. In operation, actuators 134a, 134b, 134c may be controlled such that motion of the flexure ring 124 is achieved. Motion of the actuators may allow for coarse pre-calibration. In some embodiments, actuators 134a, 134b, 134c may include high-resolution actuators. In such embodiments, the actuators may be equally spaced around housing 120. Such an embodiment may permit very precise translation of the ring 124 in the vertical direction to control the gap accurately. Thus, the system 100 may be capable of achieving coarse orientation alignment and precise gap control of template 150 with respect to a substrate to be imprinted.
  • System 100 may include a mechanism that enables precise control of template 150 so that precise orientation alignment may be achieved and a uniform gap may be maintained by the template with respect to a substrate surface. Additionally, system 100 may provide a way of separating template 150 from the surface of the substrate following imprinting without shearing of features from the substrate surface. Precise alignment and gap control may be facilitated by the configuration of the first and second flexure members, 126 and 128, respectively.
  • template 5102 may be held in place using a separated, fixed supporting plate 5101 that is transparent to the curing agent as depicted in Figure 51.
  • the supporting plate may be formed of quartz, sapphire or Si02.
  • the actuators may be connected to the template surface in such a way that a uniform force may be applied on the entire surface.
  • Distortion correction may require several independent piezo actuators that may apply independently controlled forces on each side of the template. Depending on the level of distortion control required, the number of independent piezo actuators may be specified. More piezo actuators may provide better control of distortion.
  • the magnification and distortion error correction should be completed prior to the use of vacuum to constrain the top surface of the template. This is because magnification and distortion correction may be properly controlled only if both the top and bottom surfaces of the template are unconstrained.
  • the template holder system of Figure 51 may have a mechanical design that causes obstruction of the curing agent to a portion of the area under template 5102. This may be undesirable because a portion of the liquid below template 5102 may not cure. This liquid may stick to the template causing problems with further use of the template.
  • This problem with the template holder may be avoided by incorporating a set of mirrors into the template holder to divert the obstructed curing agent in such a way that curing agent directed to the region below one edge of template 5102 may be bent to cure an obstructed portion below the other edge of template 5102.
  • high resolution gap sensing may be achieved by designing the template such that the minimum gap between the substrate and template falls within a sensing technique's usable range.
  • the gap being measured may be manipulated independently of the actual patterned surface. This may allow gap control to be performed within the useful range of the sensing technique. For example, if a spectral reflectivity analysis technique with a useful sensing range of about 150nm to 20 microns is to be used to analyze the gap, then the template may have feature patterned into the template with a depth of about 150 nm or greater. This may ensure that the minimum gap that to be sensed is greater than 150 nm. As the template is lowered toward the substrate, the fluid may be expelled from the gap between the substrate and the template.
  • a template manufactured with reticle fabrication techniques may be used in combination with gap sensing technology such as i) single wavelength interferometry, ii) multi-wavelength interferometry, iii) ellipsometry, iv) capacitance sensors, or v) pressure sensors.
  • a method of detecting gap between template and substrate may be used in computing thickness of films on the substrate.
  • a description of a technique based on Fast Fourier Transform (FFT) of reflective data obtained from a broad-band spectrometer is disclosed herein. This technique may be used for measuring the gap between the template and the substrate, as well as for measuring film thickness. For multi-layer films, the technique may provide an average thickness of each thin film and its thickness variations. Also, the average gap and orientation information between two surfaces in close proximity, such as the template-substrate for imprint lithography processes may be acquired by measuring gaps at a minimum of three distinct points through one of the surfaces.
  • FFT Fast Fourier Transform
  • a gap measurement process may be based on the combination of the broad-band interferometry and Fast Fourier Transform (FFT).
  • FFT Fast Fourier Transform
  • Several applications in current industry utilized various curve fitting techniques for the broad-band interferometry to measure a single layer film thickness. However, it is expected that such techniques may not provide real time gap measurements, especially in the case of multi-layer films, for imprint lithography processes.
  • the reflective indexes may be digitized in wavenumber domain, between l/ ⁇ h i gh and l/ ⁇ ow .
  • the digitized data may be processed using a FFT algorithm.
  • This novel approach may yield a clear peak of the FFT signal that accurately corresponds to the measured gap. For the case of two layers, the FFT signal may yield two clear peaks that are linearly related to the thickness of each layer.
  • the oscillations in the reflectivity are periodic in wavenumber (w) not wavelength ( ⁇ ), such as shown in the reflectivity of a single optical thin film by the following equation,
  • FIG 34 depicts an embodiment of a process flow of film thickness or gap, measurement via a FFT process of a reflectivity signal.
  • locations of peaks in FFT process may correspond to linear combinations of each film thickness.
  • a two-layer film may lead to two distinct peak locations in a FFT analysis.
  • Figure 35 depicts a method of dete-rmining the thickness of two films based on two peak locations.
  • Embodiments presented herein may enable measuring a gap or film thickness even when the oscillation of the reflectivity data includes less than one full period within the measuring wavenumber range. In such a case, FFT may result in an inaccurate peak location.
  • the wavenumber range ( ⁇ w) of typical spectrometers may be larger than w s .
  • the film thickness can be computed as follows:
  • a practical configuration of the measurement tool may include a broad-band light source, a spectrometer with fiber optics, a data acquisition board, and a processing computer.
  • Several existing signal processing techniques may improve the sensitivity of the FFT data. For example, techniques including but not limited to: filtering, magnification, increased number of data points, different range of wavelengths, etc., may be utilized with gap or film thickness measurement methods disclosed herein.
  • Embodiments disclosed herein include a high precision gap and orientation measurement method between two flats (e.g., a template and a substrate). Gap and orientation measurement methods presented here include use of broad-band interferometry and fringe based interferometry. Methods and systems for gap sensing using interferometry are disclosed in U.S. Patents 5,515,167 to Ledger et al.; 6,204,922 to Chalmers; 6,128,085 to Buermann et al.; and 6,091,485 to Li et al., all ofwhich are incorporated by reference as if full set forth herein.
  • a disadvantage of broad-band interferometer may be that it may be unable to accurately measure gaps smaller than about 1/4 of the mean wavelength of the broad-band signal (e.g., about 180nm).
  • micrometer size steps which may be measured accurately, may be etched into the surface of the template. As depicted in Figure 37, steps may be etched down in the forms of continuous lines 3701 or multiple isolated dots 3702 where measurements may be made. Isolated dots 3702 may be preferable from the point of view of maximizing the useful active area on the template. When the patterned template surface is only a few nanometers from the substrate, a broad-band interferometer may measure the gap accurately without suffering from minimum gap measurement problems.
  • Figure 38 depicts a schematic of the gap measurement described here. Probes 3801 may also be used in an inclined configuration, such as depicted in Figure 39. If more than three probes are used, the gap measurement accuracy may be improved by using the redundant information. For simplicity's sake, the ensuing description assumes the use of three probes.
  • the step size, h s is magnified for the purpose of illustration.
  • the relative orientation of the template with respect to the substrate may be expressed as an unit vector (n) that is normal to the template surface with respect to a frame whose x-y axes lie on the top surface of the substrate.
  • n r /
  • , (10) where, r [(x 3 , y 3; h 3 ) - (x b ⁇ hi)] x [(x 2 , y 2 , h 2 ) - (x t , y hi)].
  • Measured gaps and orientations may be used as feedback information to imprinting actuators.
  • the size of the measuring broad-band interferometric beam may be as small as about 75 ⁇ m.
  • Figure 40 depicts a schematic of multi-layer materials on substrates.
  • substrate 4001 has layers 4002, and 4003, and fluid 4005 between substrate 4001 and template 4004. These material layers may be used to transfer multiple patterns, one by one vertically, onto the substrate surface. Each thickness may be uniform at the clear area where a gap measurement may be made using light beams 4006. It has been shown that using broad-band interferometry, the thickness of a top layer may be measured accurately in the presence of multi-layer films. When the optical properties and thicknesses of lower layer films are known accurately, the gap and orientation information between the template and substrate surface (or metal deposited surfaces for multi-layer devices) may be obtained by measuring the top layer thickness. The thickness of each layer may be measured using the same sensing measurement probes.
  • the orientation error between the template 4102 and substrate 4103 may be measured via an interference fringe pattern at the template and substrate interface as depicted in Figure 41.
  • the interference fringe pattern may appear as parallel dark and light bands 4101.
  • Orientation calibration may be performed using a pre-calibration stage as disclosed herein. Differential micrometers may be used to adjust the relative orientation of the template with respect to the substrate surface. Using this approach, if no interference fringe band is present, the orientation error may be corrected to be less than Vt of the wavelength of light source used.
  • the first flexure member 126 may include a plurality of flexure joints 160 coupled to corresponding rigid bodies 164, 166. Flexure joints 160 and rigid bodies 164, and 166 may form part of arms 172, 174 extending from a frame 170. Flexure frame 170 may have an opening 182, which may permit the penetration of a curing agent (e.g., activating light) and a sensing agent (e.g., analyzing light) to reach the template 150 when held in support 130.
  • a curing agent e.g., activating light
  • a sensing agent e.g., analyzing light
  • four (4) flexure joints 160 may provide motion of the flexure member 126 about a first orientation axis 180.
  • Frame 170 of first flexure member 126 may provide a coupling mechanism for joining with second flexure member 128 as illustrated in Figure 43.
  • second flexure member 128 may include a pair of arms 202, 204 extending from a frame 206.
  • Arms 202 and 204 may include flexure joints 162 and corresponding rigid bodies 208, 210.
  • first orientation axis 180 and second orientation axis 200 may intersect at a pivot point 252 at approximately the template substrate interface 254.
  • the fact that first orientation axis 180 and second orientation axis 200 are orthogonal and lie on interface 254 may provide fine alignment and gap control. Specifically, with this arrangement, a decoupling of orientation alignment from layer-to-layer overlay alignment may be achieved.
  • first orientation axis 180 and second orientation axis 200 may provide an orientation stage 250 that may be used to separate the template 150 from a substrate without shearing of desired features. Thus, features transferred from the template 150 may remain intact on the substrate.
  • flexure joints 160 and 162 may be notched shaped to provide motion of rigid bodies 164, 166, 208, 210 about pivot axes that are located along the thinnest cross section of the notches. This configuration may provide two (2) flexure-based sub-systems for a fine decoupled orientation stage 250 having decoupled compliant motion axes 180, 200.
  • Flexure members 126, 128 may be assembled via mating of surfaces such that motion of template 150 may occur about pivot point 252 substantially eliminating "swinging" and other motions that could shear imprinted features from the substrate.
  • orientation stage 250 may precisely move the template 150 about a pivot point 252; thereby, eliminates shearing of desired features from a substrate following imprint lithography.
  • a Z-translation stage may control the distance between template 150 and the substrate without providing orientation alignment.
  • a pre-calibration stage 260 may perform a preliminary alignment operation between template 150 and the substrate surfaces to bring the relative alignment to within the motion range limits of orientation stage 250.
  • pre- calibration may be required only when a new template is installed into the machine.
  • Flexure model 300 may include four (4) parallel joints: joints 1, 2, 3 and 4, that provide a four-bar-linkage system in its nominal and rotated configurations.
  • Line 310 may pass though joints 1 and 2.
  • Line 312 may pass through joints 3 and 4.
  • Angles i and ⁇ 2 may be selected so that the compliant alignment (or orientation axis) axis lies substantially on the template-wafer interface 254.
  • rigid body 314 between Joints 2 and 3 may rotate about an axis depicted by Point C.
  • Rigid body 314 may be representative of rigid bodies 170 and 206 of flexure members 126 and 128.
  • embodiments presented herein may require the availability of a gap sensing method capable of measuring small gaps of the order of 500nm or less between the template and substrate. Such a gap sensing method may require a resolution of about 50 nanometers, or less. Ideally, such gap sensing may be provided in real-time. Providing gap sensing in real-time may allow the gap sensing to be used to generate a feedback signal to actively control the actuators.
  • flexure member 250 may possess high stiffness in the directions where side motions or rotations are undesirable and lower stiffness in directions where necessary orientation motions are desirable. Such an embodiment may provide a selectively compliant device. That is, flexure member 250 may support relatively high loads while achieving proper orientation kinematics between the template and the substrate.
  • Template 150 may be made from optical flat glass to ensure that it is substantially flat on the bottom.
  • the template may be patterned using electron beam lithography.
  • Vacuum chuck 478 (as shown in Figure 47), may eliminate variations across a surface of the substrate that may occur during imprinting.
  • Vacuum chuck 478 may serve two primary purposes. First, vacuum chuck 478 may be utilized to hold the substrate in place during imprinting and to ensure that the substrate stays flat during the imprinting process. Additionally, vacuum chuck 478 may ensure that no particles are present on the back of the substrate during processing. This may be especially important to imprint lithography, as back-side particles may create flatness problems that ruin the device and decrease production yields.
  • Figure 48A and 48 B illustrate variations of a vacuum chuck suitable for these purposes according to two embodiments. In Figure 48A, a pin-type vacuum chuck 450 is shown as having a large number of pins 452. It is believed that vacuum chuck 450 may eliminate "potato chip" effects as well as other deflections on the substrate during processing.
  • a vacuum channel 454 may be provided as a means of applying vacuum to the substrate to keep it in place.
  • the spacing between the pins 452 may be maintained such that the substrate will not bow substantially from the force applied through vacuum channel 454.
  • the tips of pins 452 may be small enough to reduce the chance of particles settling on top of them.
  • Figure 47 illustrates the manufacturing process for both of pin- type vacuum chuck 450 and groove-type vacuum chuck 460.
  • optical flat 470 no additional grinding and/or polishing steps may be needed for this process. Drilling at determined locations on the optical flat 470 may produce vacuum flow holes 472.
  • Optical flat 470 may produce vacuum flow holes 472.
  • separation of template 150 from the imprinted layer may be a critical, final step in the imprint lithography process. Since the template 150 and substrate may be almost perfectly parallel, the assembly of the template, imprinted layer, and substrate leads to a substantially uniform contact between near optical flats. Such a system may usually require a large separation force. In the case of a flexible template or substrate, the separation may be merely a "peeling process.” However, a flexible template or substrate may be undesirable from the point of view of high-resolution overlay alignment. In the case of a quartz template and a silicon substrate, the peeling process may not be implemented easily. However, separation of the template from an imprinted layer may be performed successfully by a "peel and pull" process.
  • a first peel and pull process is illustrated in Figures 49 A, 49B, and 49C.
  • a second peel and pull process is illustrated in Figures 50A, 50B, and 50C.
  • a process to separate the template from the imprinted layer may include a combination of the first and second peel and pull processes.
  • reference numerals 12, 18, 20, and 40 are used in referring to the template, transfer layer, substrate, and curable substance, respectively, in accordance with Figures 1A and IB. After curing of the substance
  • either the template 12 or substrate 20 may be tilted to intentionally induce an angle 500 between the template 12 and substrate 20.
  • Orientation stage 250 may be used for this purpose.
  • Substrate 20 is held in place by vacuum chuck 478.
  • the relative lateral motion between the template 12 and substrate 20 may be insignificant during the tilting motion if the tilting axis is located close to the template-substrate interface.
  • angle 500 between template 12 and substrate 20 is large enough, template 12 may be separated from the substrate 20 using only Z-axis motion (i.e. vertical motion). This peel and pull method may result in desired features 44 being left intact on the transfer layer 18 and substrate 20 without undesirable shearing.
  • a second peel and pull method is illustrated in Figures 50A, 50B, 50C.
  • one or more piezo actuators 502 may be installed adjacent to the template.
  • the one or more piezo actuators 502 may be used to induce a relative tilt between template 12 and substrate 20 ( Figure 50A).
  • An end of piezo actuator 502 may be in contact with substrate 20.
  • actuator 502 is enlarged ( Figure 50B)
  • template 12 may be pushed away from substrate 20; thus inducing an angle between them.
  • a Z-axis motion between the template 12 and substrate 20 ( Figure 50C) may then be used to separate template 12 and substrate 20.
  • An end of actuator 502 may be surface treated similar to the treatment of the lower surface of template 12 in order to prevent the imprinted layer from sticking to the surface of the actuator.
  • embodiments presented herein disclose systems, processes and related devices for successful imprint lithography without requiring the use of high temperatures or high pressures. With certain embodiments, precise control of the gap between a template and a substrate on which desired features from the template are to be transferred may be achieved. Moreover, separation of the template from the substrate (and the imprinted layer) may be possible without destruction or shearing of desired features.
  • Embodiments herein also disclose a way, in the form of suitable vacuum chucks, of holding a substrate in place during imprint lithography. Further embodiments include, a high precision X-Y translation stage suitable for use in an imprint lithography system. Additionally, methods of forming and treating a suitable imprint lithography template are provided.

Abstract

Described are imprint lithography templates, methods of forming and using the templates, and a template holder device. An imprint lithography template may include a body with a plurality of recesses on a surface of the body. The body may be of a material that is substantially transparent to activating light. At least a portion of the plurality of recesses may define features having a feature size less than about 250 nm. A template may be formed by obtaining a material that is substantially transparent to activating light and forming a plurality or recesses on a surface of the template. In some embodiments, a template may further include at least one alignment mark. In some embodiments, a template may further include a gap sensing area. An imprint lithography template may be used to form an imprinted layer in a light curable liquid disposed on a substrate. During use, the template may be disposed within a template holder. The template holder may include a body with an opening configured to receive the template, a support plate, and at least one piezo actuator coupled to the body. The piezo actuator may be configured to alter a physical dimension of the template during use.

Description

TITLE: TEMPLATE FOR ROOM TEMPERATURE, LOW PRESSURE MICRO- AND NANO-IMPRINT LITHOGRAPHY
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to imprint lithography templates. More particularly, to imprint lithography templates for use in micro- and nano-imprint lithography processes.
2. Description of the Relevant Art
Optical lithography techniques are currently used to make most microelectronic devices. However, it is believed that these methods are reaching their limits in resolution. Sub-micron scale lithography has been a critical process in the microelectronics industry. The use of sub-micron scale lithography allows manufacturers to meet the increased demand for smaller and more densely packed electronic components on chips. It is expected that in the coming years, the microelectronics industry will pursue structures that are smaller than about 50 nm. Further, there are emerging applications of nanometer scale lithography in the areas of opto-electronics and magnetic storage. For example, photonic crystals and high-density patterned magnetic memory of the order of terabytes per square inch require nanometer scale lithography.
For making sub-50 nm structures, optical lithography techniques may require the use of very short wavelengths of light (e.g., about 13.2 nm). At these short wavelengths, many common materials may not be optically transparent and therefore imaging systems typically have to be constructed using complicated reflective optics. Furthermore, obtaining a light source that has sufficient output intensity at these wavelengths may be difficult. Such systems may lead to extremely complicated equipment and processes that may be prohibitively expensive. It is believed that high-resolution e-beam lithography techniques, though very precise, may be too slow for high-volume commercial applications.
Imprint lithography processes have demonstrated the ability to replicate high-resolution (sub-50nm) images on substrates using templates that contain images as topography on their surfaces. It is believed that imprint lithography may be an alternative to optical lithography for use in patterning substrates in the manufacture of microelectronic devices, optical devices, MEMS, opto-electronics, patterned magnetic media for storage applications, etc. Imprint lithography techniques may be superior to optical lithography for making three- dimensional structures such as micro lenses and T-gate structures.
For production-scale imprint lithography, it may be desirable to place patterned regions as close as possible to each other without interfering with subsequent imprints. This effectively maximizes the pattemable area on the substrate. In order to accomplish this goal, the location of the any excess fluid that is expelled from the patterned area should be well confined and repeatable. As such, the individual components, including the template, substrate, fluid and any other materials that may affect the physical properties of the system, including but not limited to surface energy, interfacial energies, Hamacker constants, Van der Waals' forces, viscosity, density, opacity, etc., should be engineered properly to accommodate a repeatable process. Accordingly, a need exists for a way of controlling the spread of excess fluid outside desired patterning regions that can facilitate production-scale imprint lithography. SUMMARY OF THE INVENTION
The embodiments described herein include imprint lithography templates, methods for fo-rming and using imprint lithography templates, and template holders.
In an embodiment, an imprint lithography template may be substantially transparent to activating light (e.g., ultraviolet light). Such a template may include a body having a first surface. The template may further include a plurality of recesses on the first surface. In various embodiments, the first surface may be substantially planar, parabolic, or spherical. At least a portion of the recesses may have a feature size of less than about 250 nm. In some embodiments, the template may further include at least one alignment mark on the body. In some embodiments, the template may further include a gap sensing area. In various embodiments, the body may be formed in whole, or in part of silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz, indium tin oxide or combinations thereof. In some embodiments, at least a portion of the body may be formed of SiOx, where X is less than 2. For example, X may be about 1.5.
In an embodiment, the plurality of recesses on the first surface may include first recesses, having a first depth; and second recesses, having a second depth. The second depth may be greater than the first depth. For example, the first depth may be less than about 250 nm. In addition to the plurality of recesses on the first surface, the template may include at least one recess on a second surface opposite the first surface. In an embodiment, at least a portion of the recesses may have a width that varies in a direction normal to the first surface. Such recesses may be configured to accommodate changes in material properties of a light curable liquid that may be used with the template in an imprint lithography process. For example, the light curable liquid may contract or expand upon curing.
In an embodiment, a template may include an excess fluid relief structure formed in a portion of the body. For example, such a structure may be formed in a kerf area of a template.
In some embodiments, at least a portion of the first surface of the template may have a surface free energy measured at 25 °C of less than about 40 dynes/cm. In some of these embodiments, the portion of the first surface of the template may have a surface free energy measured at 25 °C of less than about 20 dynes/cm. For example, at least the portion of the first surface may have a surface treatment layer. The surface treatment layer may include a reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water. For example, the surface treatment layer may include a reaction product of tridecafluoro-l,l,2,2-tetrahydrooctyl trichlorosilane with water. The surface treatment layer may reduces the surface free energy of the first surface measured at 25 °C to less than about 40 dynes/cm, or in some cases, to less than about 20 dynes/cm.
In some embodiments, an alignment mark on the template may be substantially transparent to activating light. The alignment mark may be substantially opaque to analyzing light. In such embodiments, the analyzing light may include visible light or infrared light. The alignment mark may be formed of a material different than the material of the body. For example, the alignment mark may include SiOx where x is less than 2. For example, x may be about 1.5. Alternately, the alignment mark may include a plurality of lines etched on a surface of the body. The lines may be configured to substantially diffuse activating light, but produce an analyzable mark under analyzing light.
In some embodiments, the template may have a planarity of less than about 500 nm. In some of these embodiments, the template may have a planarity of less than about 250 nm. In some embodiments, the template may include a conductive coating or reflective coating on at least one edge of the body. In other embodiments, the template may include a mirror coupled to at least one edge of the body.
In an embodiment, the template may include a template blank coupled to the body. For example, the body may be bonded to the template blank using a bonding agent. The template blank and the bonding agent may be substantially transparent to activating light. In some embodiments, a gap sensing area may include at least one recess having a known depth. The gap sensing area may be in the first surface or the second surface. In an embodiment, the gap sensing area may have a depth greater than about 100 nm.
In an embodiment, an imprint lithography template, as described above, may be formed by obtaining a material that is substantially transparent to activating light and forming a plurality of recesses on a first surface of the material. The method of forming he template may further include forming at least one alignment mark on the material. The plurality of recesses may be formed by etching the material. The plurality of recesses may be formed using processes including but not limited to optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, or reactive ion etch. Likewise, the alignment mark may be formed using processes including but not limited to optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, or reactive ion etch. For example, in some embodiments as described above, the alignment mark may include a plurality of lines formed on the template. In other embodiments, the alignment mark may be formed by depositing a second material on the material used to form the template.
A method of forming an imprint lithography template may further include shaping the material into a desired shape. For example, the material may be shaped to provide desired dimensions to the template. The desired dimensions may include a predetermined set of template dimensions. In some embodiments, the method may include coupling the material to a template blank. For example, the material may be bonded to a template blank using a bonding agent.
A surface treatment as previously described may be applied to at least a portion of the first surface of the template. In some embodiments, the surface treatment layer may be formed using a vapor-phase reaction process. For example, the material may be placed in a reaction chamber. The reaction chamber may be purged. At least one reactant chemical may be administered into the reaction chamber. It is believed that the at least one reactant chemical may react with water to form the surface treatment layer on at least a portion of the first surface. However, it is anticipated that the reactant chemical may react directly with the surface of the template, with a another chemical present on the first surface, or with itself to form the surface treatment layer. In some embodiments, the method may also include applying a reflective coating or a conductive coating to at least one edge of the material. In other embodiments, the method may include coupling a mirror to at least one edge of the material.
To form a pattern on a substrate, a template may be placed in a template holder. The template holder may include a body, a supporting plate and at least one piezo actuator. The body may have an opening configured to receive an imprint lithography template. The body may be configured to be attached to a template support of an imprint lithography system. The supporting plate may be coupled to the body and may be substantially transparent to activating light. The supporting plate may span the opening in the body in at least one direction. The supporting plate may be formed of materials including but not limited to quartz, sapphire and Si02. The supporting plate may be configured to inhibit deformation of a template disposed within the template holder due to forces present in an imprint lithography process. The at least one piezo actuator may be coupled to the body, and configured to alter a physical dimension of the imprint lithography template during use. For example, a piezo actuator may be configured to apply a compressive or elongating force to a template disposed within the opening. The supporting plate and/or the body may include at least one vacuum opening configured to apply vacuum to a template disposed within the opening and/or the interface of the supporting plate and the body. Additionally, a mirror or reflective coating may be applied to a surface of the body that faces inside the opening.
An imprint lithography template, as described above, may be used in a method of forming a pattern on a substrate using a patterned template. In general, a method of forming a pattern on a substrate may be accomplished by applying a light curable liquid (e.g., a photoresist material) to a substrate. An imprint lithography template is positioned above the portion of the substrate to which the light curable liquid was applied. The relative position of the template and the substrate may be adjusted such that a gap is created between the patterned template and the substrate. Activating light may be applied through the template to the liquid. Applying the activating light substantially cures the liquid. Thus, a pattern of the template is formed in the cured liquid. The template may then be separated from the cured liquid.
The method may further include determining the alignment between the patterned template and the substrate. In such a case, the substrate may include a substrate alignment mark. The template alignment mark and the substrate alignment mark may be symmetric geometric shapes. Determining the alignment of the alignment marks may include determining the centers of the substrate and template alignment marks. The locations of the centers of the alignment marks may be compared to determine alignment of the alignment marks.
In a first embodiment, the alignment between the patterned template and the substrate may be determined by applying a first wavelength of light through the patterned template. The first wavelength of light may cause the substrate alignment mark to be in focus and the template alignment mark to be out of focus with respect to an analysis tool. A second wavelength of light may then be applied through the patterned template. The second wavelength of light may cause the template alignment mark to be in focus and the substrate alignment mark to be out of focus with respect to the analysis tool. In a second embodiment, the alignment between the patterned template and the substrate may be determined by using a polarizing light alignment tool. A polarizing filter system may be placed between the polarizing light alignment tool and the template. The polarizing filter system may include a first polarizing filter substantially oriented over the substrate alignment mark and a second polarizing filter substantially oriented over the template alignment mark. The polarization of light capable of passing through the first polarization filter is substantially different then the polarization of light capable of passing through the second polarization filter. In a third embodiment, determining the alignment may be done using a moire pattern detector. In a fourth embodiment, determining the alignment between the template and the substrate may include applying an analyzing light to the template. The template may include at least two materials, a first material and a second material. The alignment mark may be formed of the second material. The first and second materials may be substantially transparent to the activating light used to cure the liquid. However, the second material may produce an analyzable mark with substantial contrast when he analyzing light is applied to the template. In a fifth embodiment, the template alignment mark may include a plurality of etched lines that act as a diffraction grating toward analyzing light. Dete-rmining the alignment between the patterned template and the substrate may include applying analyzing light to the patterned template. The template alignment mark may be substantially transparent to the activating light, but may produce an analyzable mark when the analyzing light is applied to the template. The method of forming a pattern on a substrate using a patterned template may further include adjusting the overlay placement of the patterned template and the substrate. Adjusting the overlay placement includes moving the substrate such that the template alignment mark is substantially aligned with the substrate alignment mark. For example, adjusting the overlay placement may include altering the angle of the patiemed template with respect to the substrate or altering the dimensions of he patterned template. The dimensions of the template may be altered by altering the temperature of the template or applying a compressive or elongation force to the template.
For example, at least one piezoelectric actuator may be coupled to the patterned template. The at least one piezoelectric actuator may alter the dimensions of the patterned template by applying a force to the template.
The activating light curable liquid may be applied to a portion of the substrate by a fluid dispenser. The liquid may be dispensed to create a predetermined pattern by moving the substrate with respect to the fluid dispenser. The predetermined pattern may be configured to inhibit the formation of air bubbles in the liquid when the template contacts the liquid. The predetermined pattern that may be also be selected such that the liquid fills the gap in an area substantially equal to the surface area of the template.
In an embodiment, positioning the patterned template and the substrate in a spaced relationship may include positioning the patterned template over the substrate and moving the patterned template toward the substrate until a desired spaced relationship is achieved. The liquid on the substrate substantially fills the gap as the patterned template is moved toward the substrate. The spaced relationship may be a distance of less than about 200 nm. In some embodiments, the patterned template and the substrate may be positioned in a substantially parallel orientation. In other embodiments, the template may be positioned over the substrate in a substantially non-parallel position. The template may be moved toward the substrate while remaining in a substantially non-parallel orientation with respect to the substrate. The template may then be oriented in a substantially parallel orientation to the substrate when the template is in a desired spaced relationship to the substrate
In an embodiment, separating the patterned template from the cured liquid may include moving the template to a substantially non-parallel orientation and moving the patterned template away from the substrate. After separating the patterned template from the cured liquid, the cured liquid may include some features less than about 250 nm in size
The method of forming a pattern on a substrate using a patterned template may also include deteπnining the distance between the patterned template and the substrate. A light based measuring device may be used for this purpose. The method may include applying light to the template and the substrate. The light may include a plurality of wavelengths. Light reflected from a surface of the template and the substrate may be monitored. The distance between the template and the substrate may be determined based on the monitored light. In addition, an error signal may be generated. The error signal corresponds to the difference between a desired distance between the template and substrate and the determined distance between the template and substrate. Additionally, determinations of the distance between the template and the substrate made at 3 or more non-collinear locations may be used to determine whether the template and substrate are substantially parallel. This determination may also be used generate an error signal corresponding to a relative movement between template and the substrate required to bring them into a substantially parallel configuration
The substrate may include but is not limited to a dielectric material, silicon, gallium, germanium, indium, quartz, sapphire, silicon dioxide, or polysilicon. The substrate may include one or more layers on the surface of the substrate. In such a case, the method may further include determining a thickness at least one layer on the surface of the substrate. The substrate may also include a transfer layer formed on the surface of the substrate. In such a case, the method may further include etching the transfer layer after separating the template from the cured liquid. Etching the transfer layer may impart the pattern to the transfer layer
The templates and methods described above, may for example, be used to form a semiconductor device, an optical device, a photonic device, a magnetic storage device or thin film head, a display device, etc.
BRIEF DESCRIPTION OF THE DRAWINGS
Other objects and advantages of the invention will become apparent upon reading the following detailed description and upon reference to the accompanying drawings in which: Figures 1A and IB depict a cross-sectional view of the gap between a template and a substrate;
Figures 2A - 2E depict cross-sectional views of an imprint lithography process;
Figure 3 depicts a process flow chart showing the sequence of steps of the imprint lithography process;
Figure 4 depicts a bottom view of a patterned template;
Figure 5 depicts a cross-sectional view of a template positioned over a substrate; Figure 6 depicts a cross sectional view of a process of making a template having multiple depths;
Figure 7 depicts a cross-sectional view of a process for forming an imprint lithography template;
Figure 8 depicts cross-sectional views of patterned templates;
Figure 9 depicts a cross sectional view of alternate patterned template designs;
Figure 10 depicts a top view of a process for applying a curable fluid to a substrate; Figure 11 depicts a schematic of an apparatus for dispensing a fluid during an imprint lithographic process;
Figure 12 depicts undesirable fluid dispensing patterns used in an imprint lithographic process;
Figure 13 depicts a fluid pattern including a plurality of drops that may not trap air bubbles after gap closing;
Figure 14 depicts a schematic of an alternate apparatus for dispensing a fluid during an imprint lithographic process;
Figure 15 depicts a fluid pattern that includes a plurality of substantially parallel lines;
Figure 16 depicts a projection view of a substrate support system;
Figure 17 depicts a projection view of an alternate substrate support system;
Figure 18 is a schematic diagram of a 3-link chain connected by flexure joints and joined to a block translating in the X-direction;
Figure 19 is a schematic diagram of an alternate 3- link chain connected by flexure joints and joined to a block translating in the X-direction;
Figure 20 is a projection view of a magnetic linear servo motor;
Figure 21 is a process flow chart of global alignment processing of multiple imprints; Figure 22 is a process flow chart of field-to-field alignment processing of multiple imprints Figure 23 is a projection view of the axes of rotation of a template with respect to a substrate;
Figure 24 depicts a measuring device positioned over a template and substrate;
Figure 25 depicts a schematic of an optical alignment measuring device positioned over a template and substrate; Figure 26 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks;
Figure 27 depicts a scheme for determining the alignment of a template with respect to a substrate using alignment marks using polarized filters;
Figure 28 depicts a schematic view of a capacitive template placement measuring device; Figure 29 depicts a schematic view of a laser interferometer-based template placement measuring device;
Figure 30 depicts a scheme for detem-ining alignment with a gap between the template and substrate when the gap is partially filled with fluid;
Figure 31 depicts an alignment mark that includes a plurality of etched lines;
Figure 32 depicts a projection view of an orientation stage; Figure 33 depicts an exploded view of the orientation stage;
Figure 34 depicts a process flow of a gap measurement technique;
Figure 35 depicts a cross sectional view of a technique for determining the gap between two materials
Figure 36 depicts a graphical representation for determining local nnnimum and maximum of a gap;
Figure 37 depicts a template with gap measuring recesses; Figure 38 depicts a schematic for using a spectrometer to measure a gap between a template and spectrometer;
Figure 39 depicts a schematic for probing the gap between a template and a substrate using probes;
Figure 40 depicts a cross-sectional view of an imprint lithographic process including pre-existing topography; Figure 41 depicts a schematic of a process for illuminating a template to detemiine the presence of a wedge between the template and substrate;
Figure 42 depicts a projection view of flexure members;
Figure 43 depicts a first and second flexure member assembled for use;
Figure 44 depicts a projection view of the bottom of an orientation stage; Figure 45 depicts a schematic view of a flexure arm holding a template;
Figure 46 depicts a cross-sectional view of a pair of flexure arms and associated precision actuators;
Figure 47 depicts a scheme for forming a vacuum chuck;
Figure 48 depicts various views of a vacuum chuck for holding a substrate;
Figure 49 depicts a scheme for removing a template from a substrate after curing; Figure 50 depicts an alternative scheme for removing a template from a substrate after curing;
Figure 51 depicts a schematic view of a template support system; and
Figure 52 depicts a side view of a gap between a template and a substrate.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawing and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.
DETAILED DESCRIPTION OF THE INVENTION Embodiments presented herein generally relate to systems, devices, and related processes of manufacturing small devices. More specifically, embodiments presented herein relate to systems, devices, and related processes of imprint lithography. For example, these embodiments may have application to imprinting very small features on a substrate, such as a semiconductor wafer. It should be understood that these embodiments may also have application to other tasks, for example, the manufacture of cost-effective Micro-Electro-Mechanical Systems (or MEMS). Embodiments may also have application to the manufacture of other kinds of devices including, but not limited to: patterned magnetic media for data storage, micro-optical devices, biological and chemical devices, X-ray optical devices, etc.
With reference now to the figures, and specifically to Figures 1A and IB, therein are shown arrangements of a template 12 predisposed with respect to a substrate 20 upon which desired features are to be imprinted using imprint lithography. Specifically, the template 12 may include a surface 14 fabricated to take on the shape of desired features, which in turn, may be transferred to the substrate 20. As used herein, a "feature size" generally refers to a width or depth of one of a desired features. In some embodiments, a transfer layer 18 may be placed between the substrate 20 and the template 12. Transfer layer 18 may receive the desired features from the template 12 via imprinted layer 16. As is well known in the art, transfer layer 18 may allow one to obtain high aspect ratio structures (or features) from low aspect ratio imprinted features.
For the purpose of imprint lithography, it is important to maintain the template 12 and substrate 20 as close to each other as possible and nearly parallel. For example, for features that are about lOOnm wide and about lOOnm deep, an average gap of about 200nm or less with a variation of less than about 50nm across the imprinting area of the substrate 20 may be required for the imprint lithography process to be successful. Embodiments presented herein provide a way of controlling the spacing between the template 12 and substrate 20 for successful imprint lithography given such tight and precise gap requirements.
Figures 1A and IB illustrate two types of problems that may be encountered in imprint lithography. In Figure 1A, a wedge shaped imprinted layer 16 results because that the template 12 is closer to the substrate 20 at one end of the imprinted layer 16. Figure 1A illustrates the importance of maintaining template 12 and substrate 20 substantially parallel during pattern transfer. Figure IB shows the imprinted layer 16 being too thick. Both of these conditions may be highly undesirable. Embodiments presented herein provide systems, processes and related devices which may eliminating the conditions illustrated in Figures 1 A and IB as well as other orientation problems associated with prior art lithography techniques.
Figures 2A through 2E illustrate an embodiment of an imprint lithography process, denoted generally as 30. In Figure 2A, template 12 may be orientated in spaced relation to the substrate 20 so that a gap 31 is formed in the space separating template 12 and substrate 20. Surface 14 of template 12 may be treated with a thin layer 13 that lowers the template surface energy and assists in separation of template 12 from substrate 20. The mariner of orientation and devices for controlling gap 31 between template 12 and substrate 20 are discussed below. Next, gap 31 may be filled with a substance 40 that conforms to the shape of treated surface 14. Alternately, in an embodiment, substance 40 may be dispensed upon substrate 20 prior to moving template 12 into a desired position relative to substrate 20.
Substance 40 may form an imprinted layer such as imprinted layer 16 shown in Figures 1A and IB. Preferably, substance 40 may be a liquid so that it may fill the space of gap 31 rather easily and quickly without the use of high temperatures and the gap can be closed without requiring high pressures. Further details regarding appropriate selections for substance 40 are discussed below.
A curing agent 32 may be applied to the template 12 causing substance 40 to harden and assume the shape of the space defined by gap 31. In this way, desired features 44 (Figure 2D) from the template 12 may be transferred to the upper surface of the substrate 20. Transfer layer 18 may be provided directly on the upper surface of substrate 20. Transfer layer 18 may facilitate the amplification of features transferred from the template 12 to generate high aspect ratio features.
As depicted in Figure 2D, template 12 may be removed from substrate 20 leaving the desired features 44 thereon. The separation of template 12 from substrate 20 must be done so that desired features 44 remain intact without shearing or tearing from the surface of the substrate 20. Embodiments presented herein provide a method and associated system for peeling and pulling (referred to herein as the "peel-and-pull" method) template 12 from substrate 20 following imprinting so that desired feature 44 remain intact.
Finally, in Figure 2E, features 44 transferred from template 12 to substance 40 may be amplified in vertical size by the action of the transfer layer 18 as is known in the use of bi-layer resist processes. The resulting structure may be further processed to complete the manufacturing process using well-known techniques. Figure 3 summarizes an embodiment of an imprint lithography process, denoted generally as 50, in flow chart form.
Initially, at step 52, course orientation of a template and a substrate may be performed so that a rough alignment of the template and substrate may be achieved. An advantage of course orientation at step 52 may be that it may allow pre-calibration in a manufacturing environment, where numerous devices are to be manufactured, with efficiency and with high production yields. For example, where the substrate includes one of many die on a semiconductor wafer, course alignment (step 52) may be performed once on the first die and applied to all other dies during a single production run. In this way, production cycle times may be reduced and yields may be increased.
At step 54, a substance may be dispensed onto the substrate. The substance may be a curable organosilicon solution or other organic liquid that may become a solid when exposed to activating light. The fact that a liquid is used may eliminate the need for high temperatures and high pressures associated with prior art lithography techniques. Next, at step 56, the spacing between the template and substrate may be controlled so that a relatively uniform gap may be created between the two layers permitting the precise orientation required for successful imprinting. Embodiments presented herein provide a device and system for achieving the orientation (both course and fine) required at step 56.
At step 58, the gap may be closed with fine vertical motion of the template with respect to the substrate and the substance. The substance may be cured (step 59) resulting in a hardening of the substance into a form having the features of the template. Next, the template may be separated from the substrate, step 60, resulting in features from the template being imprinted or transferred onto the substrate. Finally, the structure may be etched, step 62, using a preliminary etch to remove residual material and a well-known oxygen etching technique to etch the transfer layer. In various embodiments, a template may incorporate unpatterned regions i) in a plane with the template surface, ii) recessed in the template, iii) protrude from the template, or iv) a combination of the above. A template may be manufactured with protrusions, which may be rigid. Such protrusions may provide a uniform spacer layer useful for particle tolerance and optical devices such as gratings, holograms, etc. Alternately, a template may be manufactured with protrusions that are compressible.
In general, a template may have a rigid body supporting it via surface contact from: i) the sides, ii) the back, iii) the front or iv) a combination of the above. The template support may have he advantage of limiting template deformation or distortion under applied pressure. In some embodiments, a template may be coated in some regions with a reflective coating. In some such embodiments, the template may incorporate holes in the reflective coating such that light may pass into or through the template. Such coatings may be useful in locating the template for overlay corrections using interferometry. Such coatings may also allow curing with a curing agent sources that illuminates through the sides of the template rather than the top. This may allow flexibility in the design of a template holder, of gap sensing techniques, and of overlay mark detection systems, among other things. Exposure of the template may be performed: i) at normal incidences to the template, ii) at inclined angles to the template, or iii) through a side surface of the template. In some embodiments, a template that is rigid may be used in combination with a flexible substrate.
The template may be manufactured using optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scamiing probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, reactive ion etch or a combination of the above. The template may be formed on a substrate having a flat, parabolic, spherical, or other surface topography. The template may be used with a substrate having a flat, parabolic, spherical, or other surface topography. The substrate may contain a previously patterned topography and/or a film stack of multiple materials.
In an embodiment depicted in Figure 4, a template may include a patterning region 401, an entrainment channel 402, and an edge 403. Template edge 403 may be utilized for holding the template within a template holder. Entrainment channel 402 may be configured to entrain excess fluid thereby preventing its spread to adjacent patterning areas, as discussed in more detail below. In some embodiments, a patterned region of a template may be flat. Such embodiments may be useful for planarizing a substrate.
In some embodiments, the template may be manufactured with a multi-depth design. That is, various features of the template may be at different depths with relation to the surface of the template. For example, entrainment channel 402 may have a depth greater than patterning area 401. An advantage of such an embodiment may be that accuracy in sensing the gap between the template and substrate may be improved. Very small gaps (e.g., less than about 100 nm) may be difficult to sense; therefore, adding a step of a known depth to the template may enable more accurate gap sensing. As used here, the term "gap sensing area" generally refers to a feature on a surface of a template that has a known depth which is greater than about 100 nm. An advantage of a dual-depth design may be that such a design may enable using a standardized template holder to hold an imprint template of a given size which may include dies of various sizes. A third advantage of a dual-depth design may enable using the peripheral region to hold the template. In such a system, all portions of the template and substrate interface having functional structures may be exposed to the curing agent. As depicted in Fig. 5, a template 500 with the depth of the peripheral region 501 properly designed may abut adjacent imprints 502, 503. Additionally, the peripheral region 501 of imprint template 500 may remain a safe vertical distance away from imprints 503.
A dual-depth imprint template, as described above, may be fabricated using various methods. In an embodiment depicted in Fig. 6, a single, thick substrate 601 may be formed with both a high-resolution, shallow- depth die pattern 602, and a low-resolution, large-depth peripheral pattern 603. In an embodiment, as depicted in
Fig. 7, a thin substrate 702 (e.g., quartz wafer) may be formed having a high-resolution, shallow-depth die pattern 701. Die pattern 701 may then be cut from substrate 702. Die pattern 701 may then be bonded to a thicker substrate 703. As used here, thicker substrate 703 may be generally referred to as a "template blank." A template blank may be sized to fit into an imprint template holder on an imprint machine. This bonding may be preferably achieved using an adhesive 704 with an index of refraction of the curing agent (e.g., activating light) similar to that of the template material.
Additional imprint template designs are depicted in Figures 8A, 8B, and 8C and generally referenced by numerals 801, 802 and 803, respectively. Each of template designs 801, 802 and 803 may include recessed regions which may be used for gap measurement and or entrainment of excess fluid. In an embodiment, a template may include a mechanism for controlling fluid spread that is based on the physical properties of the materials as well as geometry of the template. The amount of excess fluid which may be tolerated without causing loss of substrate area may be limited by the surface energies of the various materials, the fluid density and template geometry. Accordingly, a relief structure may be used to confine the fluid within the desired molding or patterning area. This region may generally be referred to as the "kerf." The relief structure in the kerf may be recessed into the template surface using standard processing techniques used to construct the pattern or mold relief structure, as discussed above.
In conventional photolithography, the use of optical proximity corrections in the photomasks design is becoming the standard to produce accurate patterns of the designed dimensions. Similar concepts may be applied to micro- and nano-molding or imprint lithography. A substantial difference in imprint lithography processes may be that errors may not be due to diffraction or optical interference but rather due to physical property changes that may occur during processing. These changes may determine the nature or the need for engineered relief corrections in the geometry of the template. A template in which a pattern relief structure is designed to accommodate material changes (such as shrinkage or expansion) during imprinting, similar in concept to optical proximity correction used in optical lithography, may eliminate errors due to these changes in physical properties. By accounting for changes in physical properties, such as volumetric expansion or contraction, relief structure may be adjusted to generate the exact desired replicated feature. For example, Figure 9 depicts an example of an imprint formed without accounting for material property changes 901, and an imprint formed accounting for changes in material properties 902. In certain embodiments, a template with features having a substantially rectangular profile 904, may be subject to deformations due to material shrinkage during curing. To compensate for such material shrinkage, template features may be provided with an angled profile 905.
With respect to imprint lithography processes, the durability of the template and its release characteristics may be of concern. A durable template may be formed of a silicon or silicon dioxide substrate. Other suitable materials may include, but are not limited to: silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz or combinations thereof. Templates may also include materials used to form detectable features, such as alignment markings. For example, detectable features may be formed of SiOx, where X is less than 2. In some embodiments, X may be about 1.5. It is believed that this material may be opaque to analyzing light, but transparent to some activating light wavelengths. As used herein, "analyzing light" may generally refer to light used in measurement processes described herein (e.g., gap sensing, determination of alignment, etc.) In various embodiments, analyzing light may include visible light or infrared light.
It has been found through experimentation that the durability of the template may be improved by treating the template to form a thin layer on the surface of the template. For example, an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane layer may be formed on the surface, in particular tridecafluoro-l,l,2,2-tetrahydrooctyl trichlorosilane (C5F13C2H4SiCl3) may be used. Such a treatment may form a self-assembled monolayer (SAM) on the surface of the template.
A surface treatment process may be optimized to yield low surface energy coatings. Such a coating may be used in preparing imprint templates for imprint lithography. Treated templates may have desirable release characteristics relative to untreated templates. For example, newly-treated templates may possess surface free energies, -Weated of about 14 dynes/cm. Untreated template surfaces may possess surface free energies, . eated about 65 dynes/cm. A treatment procedure disclosed herein may yield films exhibiting a high level of durability.
Durability may be highly desirable since it may lead to a template that may withstand numerous imprints in a manufacturing setting.
A coating for the template surface may be formed using either a liquid-phase process or a vapor-phase process. In a liquid-phase process, the substrate may be immersed in a solution of precursor and solvent. In a vapor-phase process, a precursor may be delivered via an inert carrier gas. It may be difficult to obtain a purely anhydrous solvent for use in a liquid-phase treatment. Water in the bulk phase during treatment may result in clump deposition, which may adversely affect the final quality or coverage of the coating. In an embodiment of a vapor-phase process, the template may be placed in a vacuum chamber, after which the chamber may be cycle- purged to remove excess water. Some adsorbed water may remain on the surface of the template. A small amount of water may be needed to complete a surface reaction which forms the coating. It is believed that the reaction may be described by the formula:
R-SiC13 + 3H20 => R-Si(OH)3 + 3HC1
To facilitate the reaction, the template may be brought to a desired reaction temperature via a temperature- controlled chuck. The precursor may then be fed into the reaction chamber for a prescribed time. Reaction parameters such as template temperature, precursor concentration, flow geometries, etc. may be tailored to the specific precursor and template substrate combination.
As previously mentioned, substance 40 may be a liquid so that it may fill the space of gap 31. For example, substance 40 may be a low viscosity liquid monomer solution. A suitable solution may have a viscosity ranging from about 0.01 cps to about 100 cps (measured at 25 degrees C). Low viscosities are especially desirable for high-resolution (e.g., sub-lOOnm) structures. Low viscosities may also lead to faster gap closing. Additionally, low viscosities may result in faster liquid filling of the gap area at low pressures. In particular, in the sub-50nm regime, the viscosity of the solution should be at or below about 25 cps, or more preferably below about 5 cps (measured at 25 degrees C). In an embodiment, a suitable solution may include a mixture of 50% by weight n-butyl acrylate and 50% SIA 0210.0 (3-ac-ryoloxypropyltristrimethylsiloxane)silane. To this solution may be added a small percentage of a polymerization initiator (e.g., a photoinitiator). For example, a 3% by weight solution of a 1 : 1 Irg 819 and Irg 184 and 5% of SIB 1402.0 may be suitable. The viscosity of this mixture is about 1 cps.
In an embodiment, an imprint lithography system may include automatic fluid dispensing method and system for dispensing fluid on the surface of a substrate (e.g., a semiconductor wafer). The dispensing method may use a modular automated fluid dispenser with one or more extended dispenser tips. The dispensing method may use an X-Y stage to generate relative lateral motions between the dispenser tip and the substrate. The method may eliminate several problems with imprint lithography using low viscosity fluids. For example, the method may eliminate air bubble trapping and localized deformation of an imprinting area. Embodiments may also provide a way of achieving low imprinting pressures while spreading the fluid across the entire gap between the imprinting template and the substrate, without unnecessary wastage of excess fluid.
In an embodiment, a dispensed volume may typically be less than about 130nl (hano-liter) for a linch2 imprint area. After dispensing, subsequent processes may involve exposing the template and substrate assembly to a curing agent (e.g., activating light). Separation of the template from the substrate may leave a transferred image on top of the imprinted surface. The transferred image may lie on a thin layer of remaining exposed material. The remaining layer may be referred to as a "base layer." The base layer should be thin and uniform for a manufacturable imprint. A thin and uniform base layer may assist in the break-through etch needed to eliminate the base layer while retaining the imprinted structure.
Imprint processes may involve high pressures and/or high temperatures applied at the template and substrate interface. However, for the purpose of a manufacturable imprint lithography process including high resolution overlay alignment, high pressures and temperatures should be avoided. Embodiments disclosed herein avoid the need for high temperature by using low viscosity photo-curable fluids. Further, imprinting pressures may be minimized by reducing squeezing force required to spread the fluid across the entire imprinting area. Therefore, for the purpose of fluid based imprint lithography, a fluid dispense process should satisfy the following properties: 1. No air bubble should be trapped between template and substrate;
2. Direct contact between the dispenser tip and substrate should be avoided to minimize particle generation;
3. Pressure required to fill the gap between template and substrate in a timely manner should be mi-nimized;
4. Non-uniform fluid buildup and/or pressure gradients should be minimized to reduce non-uniform localized deformation of template-substrate interface; and 5. Waste of the dispensed fluid should be minimized
In some embodiments, relative motion between a displacement based fluid dispenser tip and a substrate may be used to form a pattern with substantially continuous lines on an imprinting area. Size of the cross section of the line and the shape of the line may be controlled by balancing rates of dispensing and relative motion. During the dispensing process, dispenser tips may be fixed near (e.g., on the order of tens of microns) the substrate. Two methods of forming a line pattern are depicted in Figures 10A and 10B. The pattern depicted in Figures 10A and
10B is a sinusoidal pattern; however, other patterns are possible. As depicted in Figures 10A and 10B continuous line pattern may be drawn using either a single dispenser tip 1001 or multiple dispenser tips 1002.
Dispensing rate, vd, and relative lateral velocity of a substrate, vs, may be related as follows: vd = Vd / td (dispensing volume / dispensing period), (1) vs = L / td (line length / dispensing period), (2) vd = a L (where, 'a' is the cross section area of line pattern), (3)
Therefore, d = a vs. (4)
The width of the initial line pattern may normally depend on the tip size of a dispenser. The tip dispenser may be fixed. In an embodiment, a fluid dispensing controller 1111 (as depicted in Figure 11) may be used to control the volume of fluid dispensed (Vd) and the time taken to dispense the fluid (td). If Vd and td are fixed, increasing the length of the line leads to lower height of the cross section of the line patterned. Increasing pattern length may be achieved by increasing the spatial frequency of the periodic patterns. Lower height of the pattern may lead to a decrease in the amount of fluid to be displaced during imprint processes. By using multiple tips connected to the same dispensing line, line patterns with long lengths may be formed faster as compared to the case of a single dispenser tip. In an embodiment, a displacement based fluid delivery system may include: a fluid container 1101, an inlet tube 1102, an inlet valve 1103, an outlet valve 1104, a syringe 1105, a syringe actuator 1106, a dispenser tip 1107, an X stage actuator 1109, a Y stage actuator 1110, a dispenser controller 1111, an XY stage controller 1112, and a main control computer 1113. A suitable displacement based dispenser may be available from the Hamilton Company.
Figure 12 illustrates several undesirable fluid patterns or dispensing methods for low viscosity fluids. These dispensing patterns may lead to one or more problems, including: trapping air bubbles, localized deformations, and waste of fluid. For example, dispensing a single drop at the center of the imprinting area 1201, or dispensing irregular lines 1205 may lead to localized deformations of the template and/or substrate. Dispensing several drops 1202, or lines 1206 in a circumferential pattern may lead to trapping of air bubbles. Other dispensing patterns with nearly closed circumferential patterns 1204 may similarly lead to air bubble trapping. Likewise, spraying or random placement of droplets 1203 may lead to trapping of air bubbles. Spin-coating a substrate with a low viscosity fluid may cause a "dewetting" problem due to the thin film instability. Dewetting may lead to formation of numerous small drops of fluid on the substrate, instead of a thin uniform layer of fluid. In an embodiment, a fluid dispensing method may dispense multiple small drops of liquid that may later be formed into a continuous body as they expand. Figures 13 depicts the case of using five drops of liquid. Here, five drops are used only for the purpose of illustration. Other non-bubble forming patterns, such as a sinusoidal line, a 'W', or an 'X' may be implemented using this method. As the template-substrate gap decreases, circular drops 1301 may become thinner and wider causing neighboring drops to merge together 1302. Therefore, even though the initial dispensing may not include a continuous form, the expanding liquid may expel air from the gap between the template and substrate. A pattern effective for use in this method should be dispensed in such a way that as droplets expand, they do not trap any air between the template and substrate.
Small drops of liquid whose volume may be accurately specified may be dispensed using micro-solenoid valves with a pressure-supporting unit. Another type of the liquid dispensing actuator may include a piezo-actuated dispenser. Advantages of a system with a micro-solenoid valve dispenser as compared to a displacement based fluid dispenser may include faster dispensing time and more accurate volume control. These advantages may be especially desirable for larger size imprints (e.g., several inches across). An embodiment of a system including micro-solenoid valves is depicted in Figure 14. The system may include: fluid container 1401, an inlet tube 1402, an inlet valve 1403, a pump 1404, an outlet valve 1405, a pump controller 1406, a micro-solenoid valve 1407, a micro-solenoid valve controller 1408, an X-Y stage 1409, an X-Y stage controller 1410, and a main computer 1412. A substrate 1411 may be placed on X-Y stage 1409. Micro valve dispensers and piezo drop-on-demand dispensers are available from various print head manufacturers.
A dispensing pattern that may be useful for large imprint areas (e.g., greater than several inch2) is depicted in Figure 15A. In such an embodiment, parallel lines of fluid 1503 maybe dispensed. Parallel lines of fluid 1503 may be expanded in such a way that air may be expelled from the gap as template 1501 approach substrate 1502.
To facilitate expanding lines 1503 in the desired manner, template 1501 may be close the gap in an intentionally wedged configuration (as depicted in Figure 15B). That is, the template/substrate gap may be closed along lines 1503 (e.g., the wedge angle may be parallel to the lines 1503).
An advantage of providing a well-distributed initial fluid layer may be that the orientation error between the template and substrate may be compensated for. This may be due to the hydraulic dynamics of the thin layer of fluid and compliance of the orientation stage. The lower portion of the template may contact the dispensed fluid earlier than other portions of the template. As the gap between the template and substrate gets smaller, the imbalance of reaction forces between the lower and higher portions of the template increases. This imbalance of forces may lead to a correcting motion for the template and substrate, bring them into a substantially parallel relationship.
. Successful imprint lithography may require precise alignment and orientation of the template with respect to the substrate to control the gap in between the template and substrate. Embodiments presented herein may provide a system capable of achieving precise alignment and gap control in a production fabrication process. In an embodiment, the system may include a high resolution X-Y translation stage. In an embodiment, the system may provide a pre-calibration stage for performing a preliminary and course alignment operation between the template and substrate surface to bring the relative alignment to within the motion range of a fine movement orientation stage. This pre-calibration stage may be required only when a new template is installed into the apparatus (also sometimes known as a stepper). The pre-calibration stage may consist of a base plate, a flexure component, and a plurality of micrometers or high-resolution actuators coupling the base plate and the flexure component. Figure 16 depicts an embodiment of an X-Y translation stage in an assembled configuration, and generally referenced by numeral 1600. The overall footprint may be less than about 20 inches by 20 inches and the height may be about 6 inches (including a wafer chuck). Such an embodiment may provide X and Y-axis translation ranges of motion of about 12 inches.
A second embodiment of an X-Y translation stage is depicted in Fig. 17, and generally referenced by numeral 1700. To provide a similar range of motion to that of X-Y stage 1600, stage 1700 may have a foot print of about 29 inches by 29 inches and a height of about 15 inches (including a wafer chuck). Stages 1600 and 1700 differ mainly in that additional linkages 1701 are oriented vertically.
Both X-Y stage 1600 and X-Y stage 1700 are flexure based systems. Flexures are widely used in precision machines since they may offer frictionless, particle-free and low maintenance operation. Flexures may also provide extremely high resolution. Examples of flexure based systems are disclosed in U.S. Patents 4,694,703 to Routson and 4062,600 to Wyse both ofwhich are incorporated by reference as if full set forth herein. However, most flexure based systems may possess limited ranges of motion (e.g., sub mm range of motion). Embodiments disclosed herein may have a range of motion of more than 12 inches. It is believed that such stages may be cost- effective for lithographic applications, particularly in vacuum. Further, for imprint lithography techniques, the presence of imprint forces may give embodiments presented herein significant advantages. In general, an X-Y stage may include two types of components: actuation components and load-carrying components. Lead screw assembly mechanisms have been widely used where the positioning accuracy is not a very significant factor. For high accuracy applications, ball screw assemblies have been used for both the actuating and load-carrying components. Both of these designs may be prone to problems of backlash and stiction. Further, the need for lubrication may make these designs undesirable for use in vacuum or in particle-sensitive applications
(e.g., imprint lithography).
Additionally, some designs may utilize air bearings. Air bearings may substantially eliminate problems of stiction and backlash. However, air bearings may provide limited load bearing capacities. Additionally, air bearings may be unsuitable for use in vacuum environments. Figure 18 shows a schematic of portion of a basic linkage 1800. Link 1 (1804) and link 3 (1805) may be of the same length. When a moving body 1801 moves along the X-axis, all of the joints in linkage 1800 rotate by the same absolute angle. It should be noted that the motion range may be independent of the length of link 2 (1803). Due to kinematic constraints, link 2 (1803) may remain parallel to a line between joint 1 (1806) and joint 4 (1807). In linkage 1800, the range of motion, /m, may be given as:
lm = 2 dχ [COS (θ - Otmaχ/2) - COS (θ0 + Omaχ 2)]
= 4 dx sin(0o) sin(otmax/2), (5)
where, θ0 is the angle of joint 1 (1806) when all flexure joints are in their equilibrium conditions, a^^ is the maximum rotation range of the flexure pivots, and dλ is the length of links 1 and 3, 1804 and 1805. As shown in
Eqn. (5), for given d\, the motion range is maximized when θ0 = 90 Degree. Therefore, the link length may be given as: dx = /ra/[4sin(αmax/2)] (6)
Therefore, using an ctmax of 60°, the minimum link length for a 12 inch motion range, is 6 inches.
Figure 19 depicts an embodiment of a basic linkage similar to linkage 1800, but with the addition of two cylindrical disks 1902. A kinematic study shows that if joint 2 (1904) and joint 3 (1905) of Figure 19 rotate in opposite directions by the same angle, the stage may generate a pure translational motion along the X axis. By adding cylindrical disks 1902 at flexure joints 2 (1904) and 3 (1905), the resulting rolling contact may rotate link 1 (1908) and link 2 (1906) in opposite directions. In an embodiment, no additional joints or bearings may be required since cylindrical discs 1902 may be coupled to links 1908 and 1906. In order to prevent discs 1902 from slipping, an appropriate pre-load may be applied between the two disks. Compared to conventional stages where direct driven mechanisms or bearings may be used, the contact surface here may be relatively small, and relatively easy to maintain. Note that although disks 1902 are not depicted in relation to X-Y stages 1600, and 1700, disks 1902 may be present in some embodiments. Links 1602 and 1601 in Fig. 16 may correspond to links 1908 and 1906 of Fig.
19. Thus, disks 1902 may be present at location 1603 (as well as other locations not visible in the Figure 16). Referring to Figure 17, disks 1902 may be present at location 1702 (as well as other locations not visible in Figure 17).
As the actuation system for either of stages 1600 or 1700, two linear servo motors (as depicted in Fig. 20 and referenced by numeral 2000) may be suitable. One linear servo motor may serve each translation axis. Suitable linear servo motors may be available from the Trilogy Systems Corporation. An advantage of such linear servo motors may be the absence of frictional contact. Another advantage of such linear servo motors may be the fact that they may readily produce actuation forces greater than about 100 pounds. Therefore, actuation components may provide only translational motion control in the X and Y directions. It should be noted that in some embodiments, the actuator of the lower stage might need to be more powerful than the actuator of the upper stage. In some embodiments, laser interferometers may provide a feedback signal to control X and Y positioning of the X-Y stage. It is believed that laser interferometry may provide nm level positioning control.
Placement errors can be compensated using laser interferometers and high-resolution X-Y stages (such as X-Y stage 1700, depicted in Fig. 17). If the orientation alignments between the template and substrate are independent from X-Y motions the placement error may need to be compensated only once for an entire substrate wafer (i.e., "global overlay"). If orientation alignments between the template and substrate are coupled with X-Y motions and/or excessive local orientation variations on substrate exist, then X-Y position changes of the template relative to the substrate may need to be compensated for (i.e., field-to-field overlay). Overlay alignment issues are further discussed with regard the overlay alignment section. Figures 21 and 22 provide global and field-to-field overlay error compensation algorithms, respectively.
In an embodiment, orientation of template and substrate may be achieved by a pre-calibration stage (automatically, using actuators or manual, using micrometers) and a fine orientation stage, which may be active or passive. Either or both of these stages may include other mechanisms, but flexure-based mechanisms may be preferred in order to avoid particles. The calibration stage may be mounted to a frame, and the fine orientation stage may be mounted to the pre-calibration stage. Such an embodiment may thereby form a serial mechanical arrangement.
A fine orientation stage may include one or more passive compliant members. A "passive compliant member" may generally refer to a member that gets its motion from compliance. Compliant members apparatus are disclosed in U.S. Patents 4,414,750 to De Fazio; 4,337,579 to De Fazio; 4,155,169 to Drake et al; 4,355,469 to Nevins et al.; 4,202,107 to Watson; and 4,098,001 to Watson; each ofwhich are incorporated by reference as if fully set forth herein. That is, motion may be activated by direct or indirect contact with the liquid. If the fine orientation stage is passive, then it may be designed to have the most dominant compliance about two orientation axes. The two orientation axes may be orthogonal and may lie on the template lower surface (as described with referenced to Figure 43). The two orthogonal torsional compliance values may typically be the same for a square template. The fine orientation stage may be designed such that when the template is non-parallel with respect to the substrate, as it makes contact with the liquid, the resulting uneven liquid pressure may rapidly correct the orientation error. In an embodiment, the correction may be affected with minimal or no overshoot. Further, a fine orientation stage as described above may hold the substantially parallel orientation between the template and substrate for a sufficiently long period to allow curing of the liquid. In an embodiment, a fine orientation stage may include one or more actuators. For example, piezo actuators (as described with reference to Figure 46) may be suitable. In such an embodiment, the effective passive compliance of the fine orientation stage coupled with the pre-calibration stage should still be substantially about the two orientation axes. The geometric and material parameters of all the structural and active elements together may contribute to this effective passive stiffness. For instance, piezo actuators may also be compliant in tension and compression. The geometric and material parameters may be synthesized to obtain the desired torsional compliance about the two orientation axes. A simple approach to this synthesis may be to make the compliance of the actuators along their actuation direction in the fine orientation stage higher than the structural compliances in the rest of the stage system. This may provide passive self-correction capability when a non-parallel template comes into contact with the liquid on the substrate. Further, this compliance should be chosen to allow for rapidly correcting orientation errors, with minimal or no overshoot. The fine orientation stage may hold the substantially parallel orientation between the template and substrate for sufficiently long period to allow curing of the liquid.
Overlay alignment schemes may include measurement of alignment errors followed by compensation of these errors to achieve accurate alignment of an imprint template, and a desired imprint location on a substrate. The measurement techniques used in proximity lithography, x-ray lithography, and photolithography (e.g., laser interferometry, capacitance sensing, automated image processing of overlay marks on the mask and substrate, etc.) may be adapted for the imprint lithography process with appropriate modifications. A method and system of overlay alignment using a stored image is disclosed in U.S. Patent 5,204,739, which is incorporated by reference as if fully set forth herein.
Types of overlay errors for lithography processes may include placement error, theta error, magnification error, and mask distortion error. An advantage of embodiments disclosed herein may be that mask distortion errors may not be present because the disclosed processes may operate at relatively low temperatures (e.g., room temperature) and low pressures. Therefore, these embodiments may not induce significant distortion. Further, these embodiments may use templates that are made of a relatively thick substrate. This may lead to much smaller mask (or template) distortion errors as compared to other lithography processes where masks are made of relatively thin substrates. Further, the entire area of the templates for imprint lithography processes may be transparent to the curing agent (e.g., UV light), which may minimize heating due to absorption of energy from the curing agent. The reduced heating may minimize the occurrence of heat-induced distortions compared to photolithography processes where a significant portion of the bottom surface of a mask may be opaque due to the presence of a metallic coating. Placement error may generally refer to X-Y positioning errors between a template and substrate (that is, translation along the X and or Y-axis). Theta error may generally refer to the relative orientation error about Z-axis (that is, rotation about the Z-axis). Magnification error may generally refer to thermal, optical or material induced shrinkage or expansion of the imprinted area as compared to the original patterned area on the template.
In imprint lithography processes, orientation alignment for gap control purposes between a template and substrate corresponding to the angles α and β in Figure 23 may need to be performed frequently if excessive field- tδ-field surface variations exist on the substrate. In general, it is desirable for the variation across an imprinting area to be smaller than about one-half of the imprinted feature height. If orientation alignments are coupled with the X- Y positioning of the template and substrate, field-to-field placement error compensations may be necessary. However, embodiments of orientation stages that may perform orientation alignment without inducing placement errors are presented herein.
Photolithography processes that use a focusing lens system may position the mask and substrate such that it may be possible to locate the images of two alignment marks (one on the mask and the other on the substrate) onto the same focal plane. Alignment errors may be induced by looking at the relative positioning of these alignment marks. In imprint lithography processes, the template and substrate maintain a relatively small gap (of the order of micro meters or less) during the overlay error measurement. Therefore, overlay error measurement tools may need to focus two overlay marks from different planes onto the same focal plane. Such a requirement may not be critical for devices with features that are relatively large (e.g., about 0.5μm). However, for critical features in the sub-lOOnm region, the images of the two overlay marks should to be captured on the same focal plane in order to achieve high resolution overlay error measurements. Accordingly, overlay error measurement and error compensation methods for imprint lithography processes should satisfy the following requirements:
1. Overlay error measurement tools should be able to focus on two overlay marks that are not on the same plane;
2: Overlay error correction tools should be able to move the template and substrate relatively in X and Y in the presence of a thin layer of fluid between the template and substrate;
3. Overlay error correction tools should be able to compensate for theta error in the presence of a thin layer of fluid between the template and substrate; and
4. Overlay error correction tools should be able to compensate for magnification error. The first requirement presented above can be satisfied by i) moving an optical imaging tool up and down (as in US Patent 5,204,739) or ii) using illumination sources with two different wavelengths. For both these approaches, knowledge of the gap measurement between the template and the substrate is useful, especially for the second method. The gap between the template and substrate may be measured using one of existing non-contact film thickness measurement tools including broad-band interferometry, laser interferometry and capacitance sensors. Figure 24 illustrates the positions of template 2400, substrate 2401, fluid 2403, gap 2405 and overlay error measurement tools 2402. The height of a measuring tool may be adjusted 2406 according to the gap information to acquire two overlay marks on the same imaging plane. In order to fulfill this approach an image storing 2407 device may be required. Additionally, the positioning devices of the template and wafer should be vibrationally isolated from the up and down motions of the measuring device 2402. Further, when scanning motions in X-Y directions between the template and substrate are needed for high resolution overlay alignment, this approach may not produce continuous images of the overlay marks. Therefore, this approach may be adapted for relatively low- resolution overlay alignment schemes for the imprint lithography process.
Figure 25 illustrates an apparatus for focusing two alignment marks from different planes onto a single focal plane. Apparatus 2500 may use the change of focal length resulting from light with distinct wavelengths being used as the illumination sources. Apparatus 2500 may include an image storage device 2503, and illumination source (not shown), and a focusing device 2505. Light with distinct wavelengths may be generated either by using individual light sources or by using a single broad band light source and inserting optical band-pass filters between the imaging plane and the alignment marks. Depending on the gap between the template 2501 and substrate 2502, a different set of two wavelengths may be selected to adjust the focal lengths. Under each illumination, each overlay mark may produce two images on the imaging plane as depicted in Figure 26. A first image 2601 may be a clearly focused image. A second image 2602 may be an out-of-focus image. In order to eliminate each out-of-focus image, several methods may be used.
In a first method, under illumination with a first wavelength of light, two images may be received by an imaging array (e.g., a CCD array). Images which may be received are depicted in Figure 26 and generally referenced by numeral 2604. Image 2602 may correspond to an overlay alignment mark on the substrate. Image 2601 may correspond to an overlay alignment mark on the template. When image 2602 is focused, image 2601 may be out of focus, and visa-versa. In an embodiment, an image processing technique may be used to erase geometric data corresponding to pixels associated with image 2602. Thus, the out of focus image of the substrate mark may be eliminated, leaving image 2601. Using the same procedure and a second wavelength of light, image 2605 and 2606 may be formed on the imaging array. The procedure may eliminate out of focus image 2606. Thus image 2605 may remain. The two remaining focused images 2601 and 2605 may then be combined onto a single imaging plane 2603 for making overlay error measurements.
A second method may utilize two coplanar polarizing arrays, as depicted in Figure 27, and polarized illumination sources. Figure 27 illustrates overlay marks 2701 and orthogonally polarized arrays 2702. Polarizing arrays 2702 may be made on the template surface or may be placed above it. Under two polarized illumination sources, only focused images 2703 (each corresponding to a distinct wavelength and polarization) may appear on the imaging plane. Thus, out of focus images may be filtered out by polarizing arrays 2702. An advantage of this method may be that it may not require an image processing technique to eliminate out-focused images. It should be noted that, if the gap between the template and substrate is too small during overlay measurement, error correction may become difficult due to stiction or increased shear forces of the thin fluid layer.
Additionally, overlay errors may be caused by the non-ideal vertical motion between the template and substrate if the gap is too large. Therefore, an optimal gap between the template and substrate should to be determined, where the overlay error measurements and corrections may be performed.
Moire pattern based overlay measurement has been used for optical lithography processes. For imprint lithography processes, where two layers of Moire patterns are not on the same plane but still overlapped in the imaging array, acquiring two individual focused images may be difficult to achieve. However, carefully controlling the gap between the template and substrate within the depth of focus of the optical measurement tool and without direct contact between the template and substrate may allow two layers of Moire patterns to be simultaneously acquired with minimal focusing problems. It is believed that other standard overlay schemes based on the Moire patterns may be directly implemented to imprint lithography process.
Placement errors may be compensated for using capacitance sensors or laser interferometers to locate the substrate on a high-resolution X-Y stage, and high-resolution motion of these X-Y stages. In an embodiment where orientation alignments between the template and substrate are independent from X-Y motions, placement error may need to be compensated for only once for an entire substrate (e.g., a semiconductor wafer). Such a method may be referred to as a "global overlay." If orientation alignments between the template and substrate are coupled with X-
Y motions and excessive local orientation variations exist on the substrate, X-Y position change of the template may be compensated for using capacitance sensors and/or laser interferometers. Such a method may be referred to as a "field-to-field overlay." Figures 28 and 29 depict suitable sensor implementations. Figure 28 depicts an embodiment of a capacitance sensing system. A capacitance sensing system may include capacitance sensors 2801, a conductive coating 2802, on a template 2803. Thus, by sensing differences in capacitance, the location of template 2803 may be determined. Similarly, Figure 29 depicts an embodiment of a laser interferometer system including reflective coating 2901, laser signal 2902, received 2903. Laser signals received by receiver 2903 may be used to determine the location of template 2904.
The magnification error, if any exists, may be compensated for by carefully controlling the temperature of the substrate and the template. Using the difference of the thermal expansion properties of the substrate and template, the size of pre-existing patterned areas on the substrate may be adjusted to that of a new template. However, it is believed that the magnification error may be much smaller in magnitude than placement error or theta error when an imprint Hthography process is conducted at room temperature and low pressures. Magnification error may also be compensated for by using stress-based methods as disclosed herein. The theta error may be compensated for using a theta stage that has been widely used for photolithography processes. Theta error may be compensated for by using two separate alignment marks that are separated by a sufficiently large distance to provide a high-resolution theta error estimate. The theta error may be compensated for when the template is positioned a few microns or less apart from the substrate prior to curing the liquid.
Another concern with overlay alignment for imprint lithography processes that use UV curable liquid materials may be the visibility of the alignment marks. For the overlay error measurement, two overlay marks, one on the template and the other on substrate may be used. However, since it may be desirable for the template to be transparent to a curing agent, the template overlay marks may typically not include opaque lines. Rather, the template overlay marks may be topographical features of the template surface. In some embodiment, the marks may be made of the same material as the template. In addition, UV curable liquids may tend to have refractive indices that are similar to those of the template materials (e.g., quartz). Therefore, when the UV curable liquid fills the gap between the template and the substrate, template overlay marks may become very difficult to recognize. If the template overlay marks are made with an opaque material (e.g., chromium), the UV curable liquid below the overlay marks may not be properly exposed to the UV light, which is highly undesirable.
Two methods are disclosed to overcome the problem of recognizing template overlay mark in the presence of the liquid. A first method uses an accurate liquid dispensing system along with high-resolution gap controlling stages. Suitable liquid dispensing systems and the gap controlling stages are disclosed herein. For the purpose of illustration, three steps of an overlay alignment are depicted in Figure 30. The locations of the overlay marks and the patterns of the fluid depicted in Figure 30 are only for the purpose of illustration and should not be construed in a limiting sense. Various other overlay marks, overlay mark locations, and/or liquid dispense patterns are also possible. First, in step 3001, a liquid 3003 may be dispensed onto substrate 3002. Then, in step 3004, using the high-resolution orientation stage, the gap between template 3005 and substrate 3002 may be carefully controlled so that the dispensed fluid 3003 does not fill the gap between the template and substrate completely. It is believed that at step 3004, the gap may be only slightly larger than the final imprinting gap. Since most of the gap is filled with the fluid, overlay correction can be performed as if the gap were completely filled with the fluid. The overlay marks may be placed such that the liquid does not cover them in this first position. Upon the completion of the overlay correction, the gap may be closed to a final imprinting gap (step 3006). This may enable spreading of the liquid into the remaining imprint area, including covering the alignment marks. Since the gap change between steps 3004 and 3006 may be very small (e.g., about lOnm), the gap closing motion is unlikely to cause any significant overlay error. A second method may be to make special overlay marks on the template that may be seen by the overlay measurement tool but may not be opaque to the curing agent (e.g., UV light). An embodiment of this approach is illustrated in Figure 31. In Figure 31, instead of completely opaque lines, overlay marks 3102 on the template may be formed of fine polarizing lines 3101. For example, suitable fine polarizing lines may have a width about Vz to XA of the wavelength of activating light used as the curing agent. The line width of polarizing lines 3101 should be small enough so that activating light passing between two lines is diffracted sufficiently to cause curing of all the liquid below the lines. In such an embodiment, the activating light may be polarized according to the polarization of overlay marks 3102. Polarizing the activating light may provide a relatively uniform exposure to all the template regions including regions having overlay marks 3102. Analyzing light used to locate overlay marks 3102 on the template may be broadband light or a specific wavelength that may not cure the liquid material. This light need not be polarized. Polarized lines 3101 may be substantially opaque to the analyzing light, thus making the overlay marks visible using established overlay error measuring tools. Fine polarized overlay marks may be fabricated on the template using existing techniques, such as electron beam lithography.
In a third embodiment, overlay marks may be formed of a different material than the template. For example, a material selected to form the template overlay marks may be substantially opaque to analyzing light (e.g., visible light), but transparent to activating light used as the curing agent (e.g., UV light). For example, SiOx where X is less than 2 may form such a material. In particular, it is believed that structures formed of SiOx where X is about 1.5 may be substantially opaque to visible light, but transparent to UV light.
Figure 32, depicts an assembly of a system, denoted generally as 100, for calibrating and orienting a template, such as template 12, about a substrate to be imprinted, such as substrate 20. System 100 may be utilized in a machine, such as a stepper, for mass fabrication of devices in a production environment using imprint lithography processes as described herein. As shown, system 100 may be mounted to a top frame 110 which may provide support for a housing 120. Housing 120 may contain the pre-calibration stage for course alignment of a template 150 about a substrate (not shown in Figure 32).
Housing 120 may be coupled to a middle frame 114 with guide shafts 112a, 112b attached to middle frame 114 opposite housing 120. In one embodiment, three (3) guide shafts may be used (the back guide shaft is not visible in Figure 32) to provide a support for housing 120 as it slides up and down during vertical translation of template 150. Sliders 116a and 116b attached to corresponding guide shafts 112a, 112b about middle frame 114 may facilitate this up and down motion of housing 120.
System 100 may include a disk-shaped base plate 122 attached to the bottom portion of housing 120. Base plate 122 may be coupled to a disk-shaped flexure ring 124. Flexure ring 124 may support the lower placed orientation stage included of first flexure member 126 and second flexure member 128. The operation and configuration of the flexure members 126, 128 are discussed in detail below. As depicted in Figure 33, the second flexure member 128 may include a template support 130, which may hold template 150 in place during the imprinting process. Typically, template 150 may include a piece of quartz with desired features imprinted on it. Template 150 may also include other substances according to well-known methods.
As shown in Figure 33, actuators 134a, 134b, 134c may be fixed within housing 120 and operable coupled to base plate 122 and flexure ring 124. In operation, actuators 134a, 134b, 134c may be controlled such that motion of the flexure ring 124 is achieved. Motion of the actuators may allow for coarse pre-calibration. In some embodiments, actuators 134a, 134b, 134c may include high-resolution actuators. In such embodiments, the actuators may be equally spaced around housing 120. Such an embodiment may permit very precise translation of the ring 124 in the vertical direction to control the gap accurately. Thus, the system 100 may be capable of achieving coarse orientation alignment and precise gap control of template 150 with respect to a substrate to be imprinted.
System 100 may include a mechanism that enables precise control of template 150 so that precise orientation alignment may be achieved and a uniform gap may be maintained by the template with respect to a substrate surface. Additionally, system 100 may provide a way of separating template 150 from the surface of the substrate following imprinting without shearing of features from the substrate surface. Precise alignment and gap control may be facilitated by the configuration of the first and second flexure members, 126 and 128, respectively. In an embodiment, template 5102 may be held in place using a separated, fixed supporting plate 5101 that is transparent to the curing agent as depicted in Figure 51. In various embodiments, the supporting plate may be formed of quartz, sapphire or Si02. While supporting plate 5101 behind template 5102 may support the imprinting force, applying vacuum between fixed supporting plate 5101 and template 5102 may support the separation force. Additionally, vacuum may be used to couple the supporting plate to the body of the template holder. In order to support template 5102 for lateral forces, piezo actuators 5103 may be used. The lateral supporting forces may be carefully controlled by using piezo actuators 5103. This design may also provide the magnification and distortion correction capability for layer-to-layer alignment in imprint lithography processes. Distortion correction may be very important to overcome stitching and placement errors present in the template structures made by electron beam lithography, and to compensate for distortion in the previous structures present on the substrate. Magnification correction may only require one piezo actuator on each side of the template (i.e. total of 4 piezo actuators for a four sided template). The actuators may be connected to the template surface in such a way that a uniform force may be applied on the entire surface. Distortion correction, on the other hand, may require several independent piezo actuators that may apply independently controlled forces on each side of the template. Depending on the level of distortion control required, the number of independent piezo actuators may be specified. More piezo actuators may provide better control of distortion. The magnification and distortion error correction should be completed prior to the use of vacuum to constrain the top surface of the template. This is because magnification and distortion correction may be properly controlled only if both the top and bottom surfaces of the template are unconstrained. In some embodiments, the template holder system of Figure 51 may have a mechanical design that causes obstruction of the curing agent to a portion of the area under template 5102. This may be undesirable because a portion of the liquid below template 5102 may not cure. This liquid may stick to the template causing problems with further use of the template. This problem with the template holder may be avoided by incorporating a set of mirrors into the template holder to divert the obstructed curing agent in such a way that curing agent directed to the region below one edge of template 5102 may be bent to cure an obstructed portion below the other edge of template 5102.
In an embodiment, high resolution gap sensing may be achieved by designing the template such that the minimum gap between the substrate and template falls within a sensing technique's usable range. The gap being measured may be manipulated independently of the actual patterned surface. This may allow gap control to be performed within the useful range of the sensing technique. For example, if a spectral reflectivity analysis technique with a useful sensing range of about 150nm to 20 microns is to be used to analyze the gap, then the template may have feature patterned into the template with a depth of about 150 nm or greater. This may ensure that the minimum gap that to be sensed is greater than 150 nm. As the template is lowered toward the substrate, the fluid may be expelled from the gap between the substrate and the template. The gap between the substrate and the template may approach a lower practical limit when the viscous forces approach equilibrium conditions with the applied compressive force. This may occur when the surface of the template is in close proximity to the substrate. For example, this regime may be at a gap height of about lOOnm for a 1 cP fluid when 14kPa is applied for 1 sec to a template with a radius of 1cm. As a result, the gap may be self-lirniting provided a uniform and parallel gap is maintained. Also, a fairly predictable amount of fluid may be expelled (or entrained). The volume of fluid entrained may be predictable based on careful fluid dynamic and surface phenomena calculations.
For production-scale imprint patterning, it may be desired to control the inclination and gap of the template with respect to a substrate. In order to accomplish the orientation and gap control, a template manufactured with reticle fabrication techniques may be used in combination with gap sensing technology such as i) single wavelength interferometry, ii) multi-wavelength interferometry, iii) ellipsometry, iv) capacitance sensors, or v) pressure sensors.
In an embodiment, a method of detecting gap between template and substrate may be used in computing thickness of films on the substrate. A description of a technique based on Fast Fourier Transform (FFT) of reflective data obtained from a broad-band spectrometer is disclosed herein. This technique may be used for measuring the gap between the template and the substrate, as well as for measuring film thickness. For multi-layer films, the technique may provide an average thickness of each thin film and its thickness variations. Also, the average gap and orientation information between two surfaces in close proximity, such as the template-substrate for imprint lithography processes may be acquired by measuring gaps at a minimum of three distinct points through one of the surfaces. In an embodiment, a gap measurement process may be based on the combination of the broad-band interferometry and Fast Fourier Transform (FFT). Several applications in current industry utilized various curve fitting techniques for the broad-band interferometry to measure a single layer film thickness. However, it is expected that such techniques may not provide real time gap measurements, especially in the case of multi-layer films, for imprint lithography processes. In order to overcome such problems, first the reflective indexes may be digitized in wavenumber domain, between l/λhigh and l/λιow. Then, the digitized data may be processed using a FFT algorithm. This novel approach may yield a clear peak of the FFT signal that accurately corresponds to the measured gap. For the case of two layers, the FFT signal may yield two clear peaks that are linearly related to the thickness of each layer.
For optical thin films, the oscillations in the reflectivity are periodic in wavenumber (w) not wavelength (λ), such as shown in the reflectivity of a single optical thin film by the following equation,
P\,2 + f,3g~2αd ~ ZPiiPij '1 cos(4πnd I X) , l-(jθw ?2]3 )2 e"2Ωrf +2yo1 2p2]3e_Qrf co$(4τmdl X) ' where ^+i are the reflectivity coefficients at the interface of the i-1 and i interface, n is the index of refraction, d is the thickness to measure of the film (material 2 of Figure 52), and α is the absorption coefficient of the film (material 2 of Figure 52). Here, w = 1/λ
Due to this characteristic, Fourier analysis may be a useful technique to deteπ-nine the period of the function R represented in terms of w. It is noted that, for a single thin film, a clearly defined single peak (pi) may result when a Fourier transform of R(w) is obtained. The film thickness (d) may be a function of the location of this peak such as, d = -pι/(Awx2n), (8) where Δw = rws; wf = 1/λ. and ws = 1/^.
FFT is an established technique in which the frequency of a discrete signal may be calculated in a computationally efficient way. Thus, this technique may be useful for in-situ analysis and real-time applications. Figure 34 depicts an embodiment of a process flow of film thickness or gap, measurement via a FFT process of a reflectivity signal. For multi-layer films with distinct reflective indexes, locations of peaks in FFT process may correspond to linear combinations of each film thickness. For example, a two-layer film may lead to two distinct peak locations in a FFT analysis. Figure 35 depicts a method of dete-rmining the thickness of two films based on two peak locations.
Embodiments presented herein may enable measuring a gap or film thickness even when the oscillation of the reflectivity data includes less than one full period within the measuring wavenumber range. In such a case, FFT may result in an inaccurate peak location. In order to overcome such a problem and to extend the lower limit of the measurable film thickness, a novel method is disclosed herein. Instead of using a FFT algorithm to compute the period of the oscillation, an algorithm to find a local minimum (wi) or maximum point (w2) of the reflectivity between ws and wf may be used to compute the period information: dR/dw = 0 at Wi and w2. The reflectivity R(w) of Equation 7 has its maximum at w = 0. Further, the wavenumber range (Δw) of typical spectrometers may be larger than ws. For a spectrometer with 200nm- 800nm wavelength range, Δw = 3/800 whereas ws = 1/800. Therefore, the oscillation length of the reflectivity data between 0 - ws may be smaller than that of Δw. As depicted in Figure 36, there may be two cases of the locations of minimum and maximum in the Δw range, given that w = 0 is a maximum point of R(w). Therefore, the film thickness can be computed as follows:
• Case 1 WW0: a local minimum exists at wi. Therefore, wi = one half of the periodic oscillation, and hence d = 0.5 /(wιx2κ).
• Case 2 WW1 : a local maximum exists at w2. Therefore, w2 = one period of the periodic oscillation, and hence d = l/(w2x2n).
A practical configuration of the measurement tool may include a broad-band light source, a spectrometer with fiber optics, a data acquisition board, and a processing computer. Several existing signal processing techniques may improve the sensitivity of the FFT data. For example, techniques including but not limited to: filtering, magnification, increased number of data points, different range of wavelengths, etc., may be utilized with gap or film thickness measurement methods disclosed herein.
Embodiments disclosed herein include a high precision gap and orientation measurement method between two flats (e.g., a template and a substrate). Gap and orientation measurement methods presented here include use of broad-band interferometry and fringe based interferometry. Methods and systems for gap sensing using interferometry are disclosed in U.S. Patents 5,515,167 to Ledger et al.; 6,204,922 to Chalmers; 6,128,085 to Buermann et al.; and 6,091,485 to Li et al., all ofwhich are incorporated by reference as if full set forth herein. In an embodiment, a method disclosed herein which uses broad-band interferometry may overcome a disadvantage of broad-band interferometer, namely its inability to accurately measure gaps smaller than about 1/4 of the mean wavelength of the broad-band signal. Interference fringe based interferometry may be used for sensing errors in the orientation of the template soon after it is installed. Imprint lithography processes may be implemented to manufacture single and multi layer devices. Single layer devices, such as micron size optical mirrors, high resolution light filters, light guides may be manufactured by forming a thin layer of material in certain geometric shapes on substrates. The imprinted layer thickness of some of these devices may be less than 1/4 of the mean wavelength of a broad-band signal, and may be uniform across an active area. A disadvantage of broad-band interferometer may be that it may be unable to accurately measure gaps smaller than about 1/4 of the mean wavelength of the broad-band signal (e.g., about 180nm). In an embodiment, micrometer size steps, which may be measured accurately, may be etched into the surface of the template. As depicted in Figure 37, steps may be etched down in the forms of continuous lines 3701 or multiple isolated dots 3702 where measurements may be made. Isolated dots 3702 may be preferable from the point of view of maximizing the useful active area on the template. When the patterned template surface is only a few nanometers from the substrate, a broad-band interferometer may measure the gap accurately without suffering from minimum gap measurement problems.
Figure 38 depicts a schematic of the gap measurement described here. Probes 3801 may also be used in an inclined configuration, such as depicted in Figure 39. If more than three probes are used, the gap measurement accuracy may be improved by using the redundant information. For simplicity's sake, the ensuing description assumes the use of three probes. The step size, hs, is magnified for the purpose of illustration. The average gap at the patterned area, hp, may be given as: hv =[ (hx + h2 + )β - hs, (9)
When the positions of the probes are known ((xj, yi), where x and y axes are on the substrate surface), the relative orientation of the template with respect to the substrate may be expressed as an unit vector (n) that is normal to the template surface with respect to a frame whose x-y axes lie on the top surface of the substrate. n = r / ||r||, (10) where, r = [(x3, y3; h3) - (xb γ hi)] x [(x2, y2, h2) - (xt, y hi)]. Perfect orientation alignment between two flats may be achieved when n = (00 l)τ, or hl = h2 = λ3.
Measured gaps and orientations may be used as feedback information to imprinting actuators. The size of the measuring broad-band interferometric beam may be as small as about 75μm. For a practical imprint lithography process, it may be desirable to minimize the clear area used only to measure the gap since no pattern can be etched into the clear area. Further, blockage of the curing agent due to the presence of measurement tool should be minimized.
Figure 40 depicts a schematic of multi-layer materials on substrates. For example, substrate 4001 has layers 4002, and 4003, and fluid 4005 between substrate 4001 and template 4004. These material layers may be used to transfer multiple patterns, one by one vertically, onto the substrate surface. Each thickness may be uniform at the clear area where a gap measurement may be made using light beams 4006. It has been shown that using broad-band interferometry, the thickness of a top layer may be measured accurately in the presence of multi-layer films. When the optical properties and thicknesses of lower layer films are known accurately, the gap and orientation information between the template and substrate surface (or metal deposited surfaces for multi-layer devices) may be obtained by measuring the top layer thickness. The thickness of each layer may be measured using the same sensing measurement probes.
It may be necessary to perform orientation measurement and corresponding calibration when a new template is installed or a machine component is reconfigured. The orientation error between the template 4102 and substrate 4103 may be measured via an interference fringe pattern at the template and substrate interface as depicted in Figure 41. For two optical flats, the interference fringe pattern may appear as parallel dark and light bands 4101. Orientation calibration may be performed using a pre-calibration stage as disclosed herein. Differential micrometers may be used to adjust the relative orientation of the template with respect to the substrate surface. Using this approach, if no interference fringe band is present, the orientation error may be corrected to be less than Vt of the wavelength of light source used. With reference to Figures 42A and 42B, therein are depicted embodiments of the first and second flexure members, 126 and 128, respectively, in more detail. Specifically, the first flexure member 126 may include a plurality of flexure joints 160 coupled to corresponding rigid bodies 164, 166. Flexure joints 160 and rigid bodies 164, and 166 may form part of arms 172, 174 extending from a frame 170. Flexure frame 170 may have an opening 182, which may permit the penetration of a curing agent (e.g., activating light) and a sensing agent (e.g., analyzing light) to reach the template 150 when held in support 130. In some embodiments, four (4) flexure joints 160 may provide motion of the flexure member 126 about a first orientation axis 180. Frame 170 of first flexure member 126 may provide a coupling mechanism for joining with second flexure member 128 as illustrated in Figure 43. Likewise, second flexure member 128 may include a pair of arms 202, 204 extending from a frame 206. Arms 202 and 204 may include flexure joints 162 and corresponding rigid bodies 208, 210. igid bodies 208 and
210 may be adapted to cause motion of flexure member 128 about a second orientation axis 200. A template support 130 may be integrated with frame 206 of the second flexure member 128. Like frame 182, frame 206 may have an opening 212 pe-rmitting a curing agent and a sensing agent to reach template 150 which may be held by support 130. In operation, first flexure member 126 and second flexure member 128 may be joined as shown in Figure
43 to form orientation stage 250. Braces 220, 222 may be provided in order to facilitate joining of the two pieces such that the first orientation axis 180 and second orientation axis 200 are substantially orthogonal to each other. In such a configuration, first orientation axis 180 and second orientation may intersect at a pivot point 252 at approximately the template substrate interface 254. The fact that first orientation axis 180 and second orientation axis 200 are orthogonal and lie on interface 254 may provide fine alignment and gap control. Specifically, with this arrangement, a decoupling of orientation alignment from layer-to-layer overlay alignment may be achieved. Furthermore, as explained below, the relative position of first orientation axis 180 and second orientation axis 200 may provide an orientation stage 250 that may be used to separate the template 150 from a substrate without shearing of desired features. Thus, features transferred from the template 150 may remain intact on the substrate. Referring to Figures 42A, 42B and 43, flexure joints 160 and 162 may be notched shaped to provide motion of rigid bodies 164, 166, 208, 210 about pivot axes that are located along the thinnest cross section of the notches. This configuration may provide two (2) flexure-based sub-systems for a fine decoupled orientation stage 250 having decoupled compliant motion axes 180, 200. Flexure members 126, 128 may be assembled via mating of surfaces such that motion of template 150 may occur about pivot point 252 substantially eliminating "swinging" and other motions that could shear imprinted features from the substrate. Thus, orientation stage 250 may precisely move the template 150 about a pivot point 252; thereby, eliminates shearing of desired features from a substrate following imprint lithography.
Referring to Figure 44, during operation of system 100, a Z-translation stage (not shown) may control the distance between template 150 and the substrate without providing orientation alignment. A pre-calibration stage 260 may perform a preliminary alignment operation between template 150 and the substrate surfaces to bring the relative alignment to within the motion range limits of orientation stage 250. In certain embodiments, pre- calibration may be required only when a new template is installed into the machine.
With reference to Figure 45, therein is depicted a flexure model, denoted generally as 300, useful in understanding the principles of operation of a fine decoupled orientation stage, such as orientation stage 250. Flexure model 300 may include four (4) parallel joints: joints 1, 2, 3 and 4, that provide a four-bar-linkage system in its nominal and rotated configurations. Line 310 may pass though joints 1 and 2. Line 312 may pass through joints 3 and 4. Angles i and α2 may be selected so that the compliant alignment (or orientation axis) axis lies substantially on the template-wafer interface 254. For fine orientation changes, rigid body 314 between Joints 2 and 3 may rotate about an axis depicted by Point C. Rigid body 314 may be representative of rigid bodies 170 and 206 of flexure members 126 and 128.
Mounting a second flexure component orthogonally onto the first one (as depicted in Figure 43) may provide a device with two decoupled orientation axes that are orthogonal to each other and lie on the template-substrate interface 254. The flexure components may be adapted to have openings to allow a curing agent (e.g., activating light) and a sensing agent (e.g., analyzing light) to pass through the template 150. The orientation stage 250 may be capable of fine alignment and precise motion of template 150 with respect to a substrate. Ideally, the orientation adjustment may lead to negligible lateral motion at the interface and negligible twisting motion about the normal to the interface surface due to selectively constrained high structural stiffness. Another advantage of flexure members 126, 128 with flexure joints 160, 162 may be that they may not generate particles as frictional joints may. This may be an important factor in the success of an imprint lithography process as particles may be particularly harmful to such processes.
Due to the need for fine gap control, embodiments presented herein may require the availability of a gap sensing method capable of measuring small gaps of the order of 500nm or less between the template and substrate. Such a gap sensing method may require a resolution of about 50 nanometers, or less. Ideally, such gap sensing may be provided in real-time. Providing gap sensing in real-time may allow the gap sensing to be used to generate a feedback signal to actively control the actuators.
In an embodiment, a flexure member having active compliance may be provided. For example, Figure 46 depicts a flexure member, denoted generally as 400, including piezo actuators. Flexure member 400 may be combined with a second flexure member to form an active orientation stage. Flexure member 400 may generate pure tilting motions with no lateral motions at the template-substrate interface. Using such a flexure member, a single overlay alignment step may allow the imprinting of a layer on an entire semiconductor wafer. This is in contrast to overlay alignment with coupled motions between the orientation and lateral motions. Such overlay alignment steps may lead to disturbances in X-Y alignment, and therefore may require a complicated fϊeld-to-field overlay control loop to ensure proper alignment.
In an embodiment, flexure member 250 may possess high stiffness in the directions where side motions or rotations are undesirable and lower stiffness in directions where necessary orientation motions are desirable. Such an embodiment may provide a selectively compliant device. That is, flexure member 250 may support relatively high loads while achieving proper orientation kinematics between the template and the substrate.
With imprint lithography, it may be desirable to maintain a uniform gap between two nearly flat surfaces (i.e., the template and the substrate). Template 150 may be made from optical flat glass to ensure that it is substantially flat on the bottom. The template may be patterned using electron beam lithography. The substrate
(e.g., a semiconductor wafer), however, may exhibit a "potato chip" effect resulting in micron-scale variations on its topography. Vacuum chuck 478 (as shown in Figure 47), may eliminate variations across a surface of the substrate that may occur during imprinting.
Vacuum chuck 478 may serve two primary purposes. First, vacuum chuck 478 may be utilized to hold the substrate in place during imprinting and to ensure that the substrate stays flat during the imprinting process. Additionally, vacuum chuck 478 may ensure that no particles are present on the back of the substrate during processing. This may be especially important to imprint lithography, as back-side particles may create flatness problems that ruin the device and decrease production yields. Figure 48A and 48 B illustrate variations of a vacuum chuck suitable for these purposes according to two embodiments. In Figure 48A, a pin-type vacuum chuck 450 is shown as having a large number of pins 452. It is believed that vacuum chuck 450 may eliminate "potato chip" effects as well as other deflections on the substrate during processing. A vacuum channel 454 may be provided as a means of applying vacuum to the substrate to keep it in place. The spacing between the pins 452 may be maintained such that the substrate will not bow substantially from the force applied through vacuum channel 454. At the same time, the tips of pins 452 may be small enough to reduce the chance of particles settling on top of them.
Figure 48B depicts a groove-type vacuum chuck 460 with a plurality of grooves 462 across its surface. Grooves 462 may perform a similar function to pins 454 of the pin-type vacuum chuck 450. As shown, grooves 462 may take on either a wall shape 464 or a smooth curved cross section 466. The cross section of grooves 462 for groove-type vacuum chuck 462 may be adjusted through an etching process. Also, the space and size of each groove may be as small as hundreds of microns. Vacuum flow to each of grooves 462 may be provided through fine vacuum channels across multiple grooves that run in parallel with respect to the chuck surface. The fine vacuum channels may be formed along with grooves through an etching process.
Figure 47 illustrates the manufacturing process for both of pin- type vacuum chuck 450 and groove-type vacuum chuck 460. Using optical flat 470, no additional grinding and/or polishing steps may be needed for this process. Drilling at determined locations on the optical flat 470 may produce vacuum flow holes 472. Optical flat
470 may then be masked and patterned 474 before etching 476 to produce the desired features (e.g., pins or grooves) on the upper surface of the optical flat. The surface of optical flat 470 may then be treated 479 using well- known methods.
As discussed above, separation of template 150 from the imprinted layer may be a critical, final step in the imprint lithography process. Since the template 150 and substrate may be almost perfectly parallel, the assembly of the template, imprinted layer, and substrate leads to a substantially uniform contact between near optical flats. Such a system may usually require a large separation force. In the case of a flexible template or substrate, the separation may be merely a "peeling process." However, a flexible template or substrate may be undesirable from the point of view of high-resolution overlay alignment. In the case of a quartz template and a silicon substrate, the peeling process may not be implemented easily. However, separation of the template from an imprinted layer may be performed successfully by a "peel and pull" process. A first peel and pull process is illustrated in Figures 49 A, 49B, and 49C. A second peel and pull process is illustrated in Figures 50A, 50B, and 50C. A process to separate the template from the imprinted layer may include a combination of the first and second peel and pull processes. For clarity, reference numerals 12, 18, 20, and 40 are used in referring to the template, transfer layer, substrate, and curable substance, respectively, in accordance with Figures 1A and IB. After curing of the substance
40, either the template 12 or substrate 20 may be tilted to intentionally induce an angle 500 between the template 12 and substrate 20. Orientation stage 250 may be used for this purpose. Substrate 20 is held in place by vacuum chuck 478. The relative lateral motion between the template 12 and substrate 20 may be insignificant during the tilting motion if the tilting axis is located close to the template-substrate interface. Once angle 500 between template 12 and substrate 20 is large enough, template 12 may be separated from the substrate 20 using only Z-axis motion (i.e. vertical motion). This peel and pull method may result in desired features 44 being left intact on the transfer layer 18 and substrate 20 without undesirable shearing.
A second peel and pull method is illustrated in Figures 50A, 50B, 50C. In the second peel and pull method, one or more piezo actuators 502 may be installed adjacent to the template. The one or more piezo actuators 502 may be used to induce a relative tilt between template 12 and substrate 20 (Figure 50A). An end of piezo actuator 502 may be in contact with substrate 20. Thus, if actuator 502 is enlarged (Figure 50B), template 12 may be pushed away from substrate 20; thus inducing an angle between them. A Z-axis motion between the template 12 and substrate 20 (Figure 50C) may then be used to separate template 12 and substrate 20. An end of actuator 502 may be surface treated similar to the treatment of the lower surface of template 12 in order to prevent the imprinted layer from sticking to the surface of the actuator.
In summary, embodiments presented herein disclose systems, processes and related devices for successful imprint lithography without requiring the use of high temperatures or high pressures. With certain embodiments, precise control of the gap between a template and a substrate on which desired features from the template are to be transferred may be achieved. Moreover, separation of the template from the substrate (and the imprinted layer) may be possible without destruction or shearing of desired features. Embodiments herein also disclose a way, in the form of suitable vacuum chucks, of holding a substrate in place during imprint lithography. Further embodiments include, a high precision X-Y translation stage suitable for use in an imprint lithography system. Additionally, methods of forming and treating a suitable imprint lithography template are provided.
While this invention has been described with references to various illustrative embodiments, the description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is, therefore, intended that the appended claims encompass any such modifications or embodiments.

Claims

WHAT IS CLAIMED IS:
1. An imprint lithography template comprising: a body comprising a first surface; a plurality of recesses on the first surface, wherein at least a portion of the recesses have a feature size of less than about 250 nm; and at least one alignment mark on the body; wherein the template is substantially transparent to activating light.
2. The template of claim 1 , wherein at least a portion of the body comprises silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, polysilicon, gate oxide, quartz or a combination thereof.
3. The template of claim 1, wherein at least a portion of the body comprises SiOx, where x is less than 2.
4. The template of claim 1 , wherein at least a portion of the body comprises indium tin oxide.
5. The template of claim 1, wherein the plurality of recesses on the first surface comprise first recesses having a first depth, and second recesses having a second depth, wherein the second depth is greater than the first depth.
6. The template of claim 1, wherein the plurality of recesses on the first surface comprise first recesses having a first depth, and at least a second recess having a second depth, wherein the second depth is greater than the first depth, and wherein the first depth is less than 250 nm.
7. The template of claim 1, wherein the body further comprises a second surface opposite the first surface, wherein the second surface comprises at least one recess of a known depth.
8. The template of claim 1, further comprising a fluid confinement structure formed in a portion of the body.
9. The template of claim 1, wherein at least a portion of the plurality of recesses comprise a width that varies in a direction normal to the first surface.
10. The template of claim 1, wherein the first surface has a surface free energy measured at 25 °C of less than about 40 dynes/cm.
11. The template of claim 1 , wherein the first surface has a surface free energy measured at 25 °C of less than about 20 dynes/cm.
12. The template of claim 1, wherein the alignment mark is substantially transparent to activating light; and wherein the alignment mark is substantially opaque to analyzing light.
13. The template of claim 1 , wherein the alignment mark is substantially transparent to activating light; wherein the alignment mark is substantially opaque to analyzing light, and wherein the analyzing light comprises visible light.
14. The template of claim 1, wherein the alignment mark is substantially transparent to activating light; wherein the alignment mark is substantially opaque to analyzing light, and wherein the analyzing light comprises infrared light.
15. The template of claim 1 , wherein the alignment mark comprises a plurality of lines etched on a surface of the body.
16. The template of claim 1 , wherein the alignment mark comprises a plurality of lines etched on a surface of the body, wherein the lines are configured to substantially diffuse activating light, and wherein the lines are configured to produce an analyzable mark under analyzing light.
17. The template of claim 1, wherein the alignment mark comprises SiOx where x is less than 2.
18. The template of claim 1, wherein the alignment mark comprises SiOx where x is about 1.5.
19. The template of claim 1 , wherein the first surface comprises an imprinting area and a kerf area, and wherein at least a portion of the plurality of recesses form a pattern on the imprinting area.
20. The template of claim 1 , further comprising a surface treatment layer on at least a portion of the first surface.
21. The template of claim 1 , further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of an alkylsilane, a fluoroaikylsilane, or a fluoroalkyltrichlorosilane with water.
22. The template of claim 1, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of tridecafluoro- 1 , 1 ,2,2-tetrahydrooctyl trichlorosilane with water.
23. The template of claim 1, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the first surface measured at 25 °C to less than about 40 dynes/cm.
24. The template of claim 1, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the first surface measured at 25 °C to less than about 20 dynes/cm.
25. The template of claim 1, further comprising a conductive coating on at least one edge of the body.
26. The template of claim 1, further comprising a reflective coating on at least one edge of the body.
27. The template of claim 1, further comprising a mirror coupled to at least one edge of the body.
28. The template of claim 1, further comprising a gap sensing area formed in a portion of the body.
29. The template of claim 1, wherein the activating light comprises ultraviolet light.
30. The template of claim 1, further comprising a template blank coupled to the body, wherein the template blank is substantially transparent to activating light.
31. The template of claim 1 , further comprising a template blank bonded to the body with a bonding agent, wherein the template blank and the bonding agent are substantially transparent to activating light.
32. A device formed using the imprint lithography template of claim 1.
33. An imprint lithography template comprising: a body comprising a first surface; a plurality of recesses on the first surface, wherein at least a portion of the recesses have a feature size of less than about 250 nm; and at least one gap sensing area comprising a recess of a known depth on the first surface or on a second surface; wherein the template is substantially transparent to activating light.
34. The template of claim 33, wherein the gap sensing area has a depth greater than about 100 nm.
35. The template of claim 33, wherein at least a portion of the body comprises silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly- silicon, gate oxide, quartz or a combination thereof.
36. The template of claim 33, wherein at least a portion of the body comprises SiOx, where X is less than 2.
37. The template of claim 33, wherein at least a portion of the body comprises indium tin oxide.
38. The template of claim 33, wherein the plurality of recesses on the first surface comprise first recesses having a first depth, and second recesses having a second depth, wherein the second depth is greater than the first depth.
39. The template of claim 33, wherein the pluraUty of recesses on the first surface comprise first recesses having a first depth, and at least a second recess having a second depth, wherein the second depth is greater than the first depth, and wherein the first depth is less than 250 nm.
40. The template of claim 33, further comprising a fluid confinement structure formed in a portion of the body.
41. The template of claim 33, wherein at least a portion of the plurality of recesses comprise a width that varies in a direction normal to the first surface.
42. The template of claim 33, wherein the first surface has a surface free energy measured at 25 °C of less than about 40 dynes/cm.
43. The template of claim 33, wherein the first surface has a surface free energy measured at 25 °C of less than about 20 dynes/cm.
44. The template of claim 33, wherein the alignment mark is substantially transparent to activating light; and wherein the alignment mark is substantially opaque to analyzing light.
45. The template of claim 33, wherein the alignment mark is substantially transparent to activating light; wherein the alignment mark is substantially opaque to analyzing light, and wherein the analyzing light comprises visible light.
46. The template of claim 33, wherein the alignment mark is substantially transparent to activating light; wherein the alignment mark is substantially opaque to analyzing light, and wherein the analyzing light comprises infrared light.
47. The template of claim 33, wherein the alignment mark comprises a plurality of lines etched on a surface of the body.
48. The template of claim 33, wherein the alignment mark comprises a plurality of lines etched on a surface of the body, wherein the lines are configured to substantially diffuse activating light, and wherein the lines are configured to produce an analyzable mark under analyzing light.
49. The template of claim 33, wherein the alignment mark comprises SiOx where X is less than 2.
50. The template of claim 33, wherein the alignment mark comprises SiOx where X is about 1.5.
51. The template of claim 33, wherein the template has a planarity of less than about 250 nm.
52. The template of claim 33, wherein the template has a planarity of less than about 500 nm.
53. The template of claim 33, wherein the first surface comprises an imprinting area and a kerf area, and wherein at least a portion of the plurality of recesses form a pattern on the imprinting area.
54. The template of claim 33, further comprising a surface treatment layer on at least a portion of the first surface.
55. The template of claim 33, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water.
56. The template of claim 33, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of tridecafluoro-l,l,2,2-tetrahydrooctyl trichlorosilane with water.
57. The template of claim 33, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the first surface measured at 25 °C to less than about 40 dynes/cm.
58. The template of claim 33, further comprising a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the first surface measured at 25 °C to less than about 20 dynes/cm.
59. The template of claim 33, further comprising a conductive coating on at least one edge of the body.
60. The template of claim 33, further comprising a reflective coating on at least one edge of the body.
61. The template of claim 33, further comprising a mirror coupled to at least one edge of the body.
62. The template of claim 33, wherein the activating light comprises ultraviolet light.
63. The template of claim 33, further comprising a template blank coupled to the body, wherein the template blank is substantially transparent to activating light.
64. The template of claim 33, further comprising a template blank bonded to the body with a bonding agent, wherein the template blank and the bonding agent are substantially transparent to activating light.
65. A device formed using the imprint lithography template of claim 33.
66. A method of forming an imprint lithography template comprising: obtaining a material that is substantially transparent to activating light; forming a plurality of recesses on a first surface of the material, wherein at least a portion of the plurality of recesses have a feature size of less than about 250 nm; and forming at least one alignment mark on the material.
67. The method of claim 66, wherein forming a plurality of recesses on the first surface comprises etching the material.
68. The method of claim 66, wherein forming a plurality of recesses on the first surface comprises using optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, or reactive ion etch processes.
69. The method of claim 66, wherein the first surface is substantially planar, parabolic, or spherical.
70. The method of claim 66, wherein forrning at least one alignment mark on the material comprises using optical lithography, electron beam lithography, ion-beam lithography, x-ray lithography, extreme ultraviolet lithography, scanning probe lithography, focused ion beam milling, interferometric lithography, epitaxial growth, thin film deposition, chemical etch, plasma etch, ion milling, or reactive ion etch processes.
71. The method of claim 66, wherein the material comprises silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz or a combination thereof.
72. The method of claim 66, wherein the material comprises indium tin oxide.
73. The method of claim 66, wherein forming the at least one alignment mark comprises depositing an alignment mark material onto the material, the alignment mark material comprising SiOx where x is less than 2.
74. The method of claim 66, wherein fo-rming the at least one alignment mark comprises depositing and alignment mark material onto the material, the alignment mark material comprising SiOx where x is about 1.5.
75. The method of claim 66, wherein the activating light comprises ultraviolet light.
76. The method of claim 66, wherein the alignment mark is substantially transparent to activating light, and wherein the alignment mark is substantially opaque to analyzing light.
77. The method of claim 66, wherein the alignment mark is substantially transparent to activating light, wherein the alignment mark is substantially opaque to analyzing light, wherein the activating light comprises ultraviolet light, and wherein the analyzing light comprises visible light.
78. The method of claim 66, wherein the alignment mark is substantially transparent to activating light, wherein the alignment mark is substantially opaque to analyzing light, wherein the activating light comprises ultraviolet light, and wherein the analyzing light comprises infrared light.
79. The method of claim 66, wherein forming the at least one alignment mark on the material comprises forming a plurality of lines on the first surface of the material, or on a second surface of the material, wherein the second surface is opposite the first surface.
80. The method of claim 66, wherein forming the at least one alignment mark on the material comprises depositing an alignment mark material on the material.
81. The method of claim 66, further comprising shaping the material into a desired shape.
82. The method of claim 66, further comprising coupling the body to a template blank that is substantially transparent to activating light.
83. The method of claim 66, further comprising bonding the body to a template blank that is substantially transparent to activating light using a bonding agent, wherein the bonding agent is substantially transparent to activating light.
84. The method of claim 66, further comprising applying a surface treatment layer to at least a portion of the first surface.
85. The method of claim 66, further comprising applying a surface treatment layer to at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water.
86. The method of claim 66, further comprising applying a surface treatment layer to at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of tridecafluoro-1,1,2,2- tetrahydrooctyl trichlorosilane with water.
87. The method of claim 66,.further comprising applying a surface treatment layer to at least a portion of the first surface, wherein applying the surface treatment layer comprises using a vapor-phase reaction process.
88. The method of claim 66, further comprising applying a surface treatment layer to at least a portion of the first surface, wherein applying the surface treatment layer comprises placing the material in a reaction chamber, purging the reaction chamber, and administering at least one reactant chemical, wherein the at least one reactant chemical reacts with water to form the surface treatment layer on at least a portion of the first surface.
89. The method of claim 66, further comprising applying a surface treatment layer to at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the portion of the first surface measured at '25 °C to less than about 40 dynes/cm.
90. The method of claim 66, further comprising applying a surface treatment layer to at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the portion of the first surface measured at 25 °C to less than about 20 dynes/cm.
91. The method of claim 66, further comprising applying a reflective coating to at least one edge of the material.
92. The method of claim 66, further comprising applying a conductive coating to at least one edge of the material.
93. The method of claim 66, further comprising coupling a mirror to at least one edge of the material.
94. An imprint lithography template formed by the method of claim 66.
95. A method of forming a pattern on a substrate using a patterned template, the template comprising: a body comprising a first surface; a plurality of recesses on the first surface, wherein at least a portion of the plurality of recesses form a pattern, and wherein the recesses comprise at least some features that are less than about 250 nm in size; and at least one alignment mark on the body; wherein the patterned template is substantially transparent to an activating light; applying an activating light curable liquid to a portion of the substrate; positioning the patterned template and the substrate in a spaced relationship to one another such that a gap is created between the patterned template and the substrate; applying activating light through the template to the liquid, wherein the application of activating light substantially cures the liquid, and wherein the pattern of the patterned template is formed in the cured liquid; and separating the patterned template from the cured liquid.
96. The method of claim 95, further comprising dete-rmining the alignment between the patterned template and the substrate.
97. The method of claim 95, further comprising dete-rmining the alignment between the patterned template and the substrate, wherein the substrate comprises a substrate alignment mark, and wherein deteimining the alignment between the patterned template and the substrate comprises: applying a first wavelength of light through the patterned template, wherein the first wavelength of light causes the substrate alignment mark to be in focus and the template alignment mark to be out of focus with respect to an analysis tool; and applying a second wavelength of light through the patterned template, wherein the second wavelength of , light causes the template alignment mark to be in focus and the substrate alignment mark to be out of focus with respect to the analysis tool.
98. The method of claim 95, further comprising dete-rmining the alignment between the patterned template and the substrate, wherein the substrate comprises a substrate alignment mark, wherein detei-rmning the alignment comprises using a polarizing light alignment tool, and further comprising placing a polarizing filter system between the polarizing light alignment tool and the patterned template, wherein the polarizing filter system comprises a first polarizing filter substantially oriented over the substrate alignment mark and a second polarizing filter substantially oriented over the template alignment mark, wherein the polarization of light capable of passing through the first polarization filter is substantially different then the polarization of light capable of passing through the second polarization filter.
99. The method of claim 95, further comprising determining the alignment between the patterned template and the substrate, and wherein dete-rmining the alignment comprises using a moire pattern detector.
100. The method of claim 95, further comprising determining the alignment between the patterned template and the substrate, wherein dete-rrnining the alignment comprises applying an analyzing light to the patterned template, and wherein the patterned template is composed of a first material and wherein the alignment mark is formed of a second material, different from the first material, wherein the first and second materials are substantially transparent to the wavelength of activating light used to cure the liquid, and wherein the second material produces an analyzable mark with substantial contrast when the analyzing light is applied to the patterned template.
101. The method of claim 95, further comprising detenmning the alignment between the patterned template and the substrate, wherein determining the alignment comprises applying an analyzing light to the patterned template, and wherein the template alignment mark comprises a plurality of etched lines that act as a diffraction grating toward the analyzing light, and wherein the template alignment mark is substantially transparent to the activating light.
102. The method of claim 95, further comprising dete-m-ining the alignment between the patterned template and the substrate, wherein the substrate comprises a substrate alignment mark, wherein the template alignment mark and the substrate alignment mark comprise symmetric geometric shapes, and wherein deteimining the alignment of the alignment marks comprises determining the centers of the substrate and template alignment marks, and comparing the location of the center of the template alignment mark to the location of the center of the substrate alignment mark.
103. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate.
104. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate; wherein the substrate comprises a substrate alignment mark, wherein adjusting the overlay placement comprises moving the substrate such that the template alignment mark is substantially aligned with the substrate alignment mark.
105. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate, wherein adjusting the overlay placement comprises altering the angle of the patterned template with respect to the substrate.
106. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate, wherein adjusting the overlay placement comprises altering the dimensions of the patterned template.
107. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate, wherein adjusting the overlay placement comprises altering the dimensions of the patterned template by altering the temperature of the patterned template.
108. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate, wherein adjusting the overlay placement comprises altering the dimensions of the patterned template by applying a compressive force to at least a portion of the patterned template.
109. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate, wherein adjusting the overlay placement comprises altering the dimensions of the patterned template by applying an elongating force to at least a portion of the patterned template.
110. The method of claim 95, further comprising adjusting the overlay placement of the patterned template and the substrate, wherein adjusting the overlay placement comprises altering the dimensions of the patterned template, wherein the dimensions of the patterned template are altered by the application of force from at least one piezoelectric actuator coupled to the patterned template.
111. The method of claim 95, wherein applying the activating light curable liquid to a portion of the substrate comprises dispensing the liquid with a fluid dispenser.
112. The method of claim 95, wherein applying the activating light curable liquid to a portion of the substrate comprises dispensing the liquid with a fluid dispenser, and further comprising moving the substrate with respect to the fluid dispenser while the liquid is being dispensed to create a predetermined pattern.
113. The method of claim 95, wherein applying the activating light curable liquid to a portion of the substrate comprises dispensing the liquid with a fluid dispenser, and further comprising moving the substrate with respect to the fluid dispenser while the liquid is being dispensed to create a predetermined pattern, and wherein the predetermined pattern is a pattern that is configured to inhibit the formation of air bubbles in the liquid when the patterned template contacts the liquid as the patterned template and substrate are positioned in a spaced relation.
114. The method of claim 95, wherein applying the activating light curable liquid to a portion of the substrate comprises dispensing the liquid with a fluid dispenser, and further comprising moving the substrate with respect to the fluid dispenser while the liquid is being dispensed to create a predetermined pattern, and wherein the predetermined pattern is selected such that the liquid fills the gap in an area substantially equal to the surface area of the patterned template.
115. The method of claim 95, wherein positioning the patterned template and the substrate in a spaced relationship comprises: positioning the patterned template over the substrate; and moving the patterned template toward the substrate until a desired spaced relationship is achieved, wherein the liquid on the substrate substantially fills the gap as the patterned template is moved toward the substrate.
116. The method of claim 95, wherein positioning the patterned template and the substrate in a spaced relationship comprises positioning the patterned template at a distance of less than about 200 nm from the substrate.
117. The method of claim 95, wherein positioning the patterned template and the substrate in a spaced relationship comprises positioning the patterned template in a substantially parallel orientation to the substrate.
118. The method of claim 95, wherein separating the patterned template from the cured liquid comprises: moving the template to a substantially non-parallel orientation; and moving the patterned template away from the substrate.
119. The method of claim.95, wherein the cured liquid comprises at least some features less than about 250 nm in size after the patterned template is separated from the cured liquid.
120. The method of claim 95, wherein positioning the patterned template and the substrate in a spaced relationship comprises: positioning the patterned template over the substrate, wherein the patterned template is substantially non- parallel to the substrate; moving the patterned template toward the substrate, wherein the patterned template remains in a substantially non-parallel orientation with respect to the substrate as the template is moved toward the subsfrate, and orienting the patterned template in a substantially parallel orientation to the substrate, wherein the patterned template is in a desired spaced relationship to the substrate.
121. The method of claim 95, further comprising determining the distance between the patterned template and the substrate.
122. The method of claim 95, further comprising deteimining the distance between the patterned template and the substrate using a light based measuring device, the method comprising: applying light to the template and the substrate, wherein the light comprises a plurality of wavelengths; monitoring light reflected from a surface of the template and the substrate; and determining the distance between the template and the substrate based on the monitored light.
123. The method of claim 95, further comprising dete-rmining an error signal, wherein the error signal corresponds to the difference between a desired distance between the first surface of the patterned template and the substrate and a determined distance between the first surface of the patterned template and the substrate; and sending the error signal to at least one actuator, wherein the at least one actuator is configured to position the patterned template and the substrate in a spaced relationship to one another.
124. The method of claim 95, wherein the subsfrate comprises silicon, gallium, germanium, or indium.
125. The method of claim 95, wherein the substrate comprises a dielectric material.
126. The method of claim 95, wherein the substrate comprises quartz, sapphire, silicon dioxide, or polysilicon.
127. The method of claim 95, wherein the patterned template comprises silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz or a combination thereof.
128. The method of claim 95, wherein the patterned template comprises indium tin oxide.
129. The method of claim 95, wherein the activating light curable liquid comprises an ultraviolet light curable composition.
130. The method of claim 95, wherein the activating light curable liquid composition comprises a photoresist material.
131. The method of claim 95, further comprising: forming a transfer layer on the substrate prior to applying the liquid to the substrate; and etching the transfer layer after separating the patterned template from the substrate, wherein etching the transfer layer imparts the pattern to the transfer layer.
132. The method of claim 95, wherein the substrate comprises at least one layer on a surface of the substrate.
133. The method of claim 95, wherein the substrate comprises at least one layer on a surface of the substrate; the method further comprising determining a thickness of the at least one layer on the surface of the substrate.
134. The method of claim 95, further comprising deteπ-riining the distance between the surface of the patterned template and the substrate at 3 or more non-collinear locations and determining whether the first surface of the patterned template and substrate are substantially parallel based on the 3 or more distance determinations.
135. The method of claim 95, further comprising determining an error signal, wherein the error signal corresponds to a relative movement between the first surface of the patterned template and the substrate required to bring the first surface of the patterned template and the substrate into a substantially parallel configuration.
136. The method of claim 95, further comprising dete-rmining an error signal, wherein the error signal corresponds to a relative movement between the first surface of the patterned template and the substrate required to bring the first surface of the patterned template and the substrate into a substantially parallel configuration; and sending the error signal to at least one actuator, wherein the at least one actuator is configured to adjust the relative position of the first surface of the patterned template and the substrate to achieve a substantially parallel configuration.
137. The method of claim 95, wherein at least a portion of the body of the template comprises silicon, silicon dioxide, silicon germanium carbon, gallium nitride, silicon germanium, sapphire, gallium arsinide, epitaxial silicon, poly-silicon, gate oxide, quartz or a combination thereof.
138. The method of claim 95, wherein at least a portion of the body of the template comprises SiOx, where x is less than 2.
139. The method of claim 95, wherein at least a portion of the body of the template comprises indium tin oxide.
140. The method of claim 95, wherein the plurality of recesses on the first surface comprise first recesses having a first depth, and second recesses having a second depth, wherein the second depth is greater than the first depth.
141. The method of claim 95, wherein the plurality of recesses on the first surface comprise first recesses having a first depth, and at least a second recess having a second depth, wherein the second depth is greater than the first depth, and wherein the first depth is less than 250 nm.
142. The method of claim 95, wherein the body of the template further comprises a second surface opposite the first surface, wherein the second surface comprises at least one recess of a known depth.
143. The method of claim 95, wherein the template further comprises a fluid confinement structure formed in a portion of the body.
144. The method of claim 95, wherein at least a portion of the plurality of recesses of the template comprise a width that varies in a direction normal to the first surface.
145. The method of claim 95, wherein the first surface of the template has a surface free energy measured at 25 °C of less than about 40 dynes/cm.
146. The method of claim 95, wherein the first surface of the template has a surface free energy measured at 25 °C of less than about 20 dynes/cm.
147. The method of claim 95, wherein the alignment mark comprises SiOx where x is less than 2.
148. The method of claim 95, wherein the alignment mark comprises SiOx where x is about 1.5.
149. The method of claim 95, wherein the template has a planarity of less than about 250 nm.
150. The method of claim 95, wherein the template has a planarity of less than about 500 nm.
151. The method of claim 95, wherein the template further comprises a surface freatment layer on at least a portion of the first surface.
152. The method of claim 95, wherein the template further comprises a surface treatment layer on at least a portion of the first surface, wherein the surface freatment layer comprises a reaction product of an alkylsilane, a fluoroalkylsilane, or a fluoroalkyltrichlorosilane with water.
153. The method of claim 95, wherein the template further comprises a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer comprises a reaction product of tridecafluoro-1,1,2,2- tetrahydrooctyl trichlorosilane with water.
154. The method of claim 95, wherein the template further comprises a surface treatment layer on at least a portion of the first surface, wherein the surface freatment layer reduces the surface free energy of the first surface measured at 25 °C to less than about 40 dynes/cm.
155. The method of claim 95, wherein the template further comprises a surface treatment layer on at least a portion of the first surface, wherein the surface treatment layer reduces the surface free energy of the first surface measured at 25 °C to less than about 20 dynes/cm.
156. The method of claim 95, wherein the template further comprises a template blank coupled to the body, wherein the template blank is substantially transparent to activating light.
157. The method of claim 95, wherein the template further comprises a template blank bonded to the body with a bonding agent, wherein the template blank and the bonding agent are substantially transparent to activating light.
158. A device made by the method of claim 95.
159. A device for holding an imprint lithography template comprising: a body comprising an opening, wherein the opening is configured to receive the imprint lithography template; a supporting plate coupled to the body, wherein the supporting plate is substantially transparent to activating light; and at least one piezo actuator coupled to the body such that the piezo actuator alters a physical dimension of the imprint lithography template during use.
160. The device of claim 159, wherein the supporting plate spans the opening in the body in at least one direction.
161. The device of claim 159, wherein the body is configured to be attached to a template support of an imprint lithography system.
162. The device of claim 159, wherein the supporting plate comprises quartz
163. The device of claim 159, wherein the supporting plate comprises Si02.
164. The device of claim 159, wherein the supporting plate comprises Sapphire.
165. The device of claim 159, further comprising a plurality of piezo actuators coupled to the body, wherein the piezo actuators are configured to apply a compressive force to a template disposed within the opening.
166. The device of claim 159, wherein the at least one piezo actuator is configured to apply a compressive force to a template disposed within the opening.
167. The device of claim 159, wherein the at least one piezo actuator is configured to apply a tensile force to a template disposed within the opening.
168. The device of claim 159, wherein the supporting plate comprises at least one vacuum opening configured to apply vacuum to a template disposed within the device.
169. The device of claim 159, wherein the supporting plate comprises at least one vacuum opening configured to apply vacuum to an interface between the supporting plate and the body.
170. The device of claim 159, wherein the body comprises at least one vacuum opening configured to apply vacuum to a template disposed within the device.
171. The device of claim 159, wherein the supporting plate is configured to inhibit deformation of a template disposed within the device due to forces present in an imprint lithography process.
172. The device of claim 159, further comprising at least one mirror coupled to a surface of the body inside the opening.
173. The device of claim 159, further comprising a reflective coating on at least one surface of the body, wherein the at least one surface faces inside the opening.
PCT/US2001/042688 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano-imprint lithography WO2002067055A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2001297642A AU2001297642A1 (en) 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano-imprint lithography
EP01273791.2A EP1352295B1 (en) 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano-imprint lithography
KR1020037005130A KR101031528B1 (en) 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano- imprint lithography
JP2002566722A JP2004523906A (en) 2000-10-12 2001-10-12 Templates for room-temperature and low-pressure micro and nano-transfer lithography

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23980800P 2000-10-12 2000-10-12
US60/239,808 2000-10-12

Publications (2)

Publication Number Publication Date
WO2002067055A2 true WO2002067055A2 (en) 2002-08-29
WO2002067055A3 WO2002067055A3 (en) 2002-10-10

Family

ID=22903829

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/042688 WO2002067055A2 (en) 2000-10-12 2001-10-12 Template for room temperature, low pressure micro- and nano-imprint lithography

Country Status (7)

Country Link
US (6) US6696220B2 (en)
EP (2) EP1352295B1 (en)
JP (1) JP2004523906A (en)
KR (1) KR101031528B1 (en)
CN (1) CN100365507C (en)
AU (1) AU2001297642A1 (en)
WO (1) WO2002067055A2 (en)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1424309A2 (en) * 2002-10-24 2004-06-02 Hewlett-Packard Development Company, L.P. Hardened nano-imprinting stamp
WO2004045858A1 (en) * 2002-11-21 2004-06-03 Council Of Scientific And Industrial Research Colored nanolithography on glass and plastic substrates
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
DE10311855A1 (en) * 2003-03-17 2004-10-14 Infineon Technologies Ag Appliance for transfer of information or structures onto wafer, using stamp with raised structures produced by suitable method, e.g. photolithography in conjunction with etching
DE10330456A1 (en) * 2003-07-05 2005-02-17 Erich Thallner Device for creating a surface structure on a wafer
DE10343323A1 (en) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stamp lithography method and device and stamp for the stamp lithograph
EP1570249A2 (en) * 2002-12-12 2005-09-07 Molecular Imprints, Inc. Method and system for determining characteristics of substrates employing fluid geometries
EP1594001A1 (en) 2004-05-07 2005-11-09 Obducat AB Device and method for imprint lithography
JP2006510223A (en) * 2002-12-13 2006-03-23 モレキュラー・インプリンツ・インコーポレーテッド Magnification correction using substrate surface curvature
EP1642170A2 (en) * 2003-07-09 2006-04-05 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
EP1669802A2 (en) 2004-12-09 2006-06-14 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
JP2007504683A (en) * 2003-05-14 2007-03-01 モレキュラー・インプリンツ・インコーポレーテッド Method, system, holder, assembly for moving a template during an imprint lithography process
DE102006019962A1 (en) * 2006-04-28 2007-11-08 Infineon Technologies Ag Imprint mask and method for aligning the imprint mask
JP2008501245A (en) * 2004-06-01 2008-01-17 モレキュラー・インプリンツ・インコーポレーテッド Method and system for controlling body movement for nanoscale manufacturing
JP2008504140A (en) * 2004-06-01 2008-02-14 モレキュラー・インプリンツ・インコーポレーテッド Compliant devices for nanoscale manufacturing
WO2008099795A2 (en) 2007-02-06 2008-08-21 Canon Kabushiki Kaisha Imprint method and imprint apparatus
EP2026131A2 (en) 2007-08-14 2009-02-18 ASML Netherlands BV Lithography meandering order
FR2922813A1 (en) * 2007-10-31 2009-05-01 Ecole Centrale De Lyon Etablis DEVICE AND METHODS FOR MICROTAMPONING AND BUFFER FOR THIS DEVICE
US7629259B2 (en) 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
US7641467B2 (en) 2007-05-02 2010-01-05 Asml Netherlands B.V. Imprint lithography
US7654816B2 (en) 2004-10-07 2010-02-02 Hewlett-Packard Development Company, L.P. Lithographic mask alignment
US20100297282A1 (en) * 2009-05-19 2010-11-25 Asml Netherlands B.V. Imprint lithography apparatus
JP2011101016A (en) * 2002-08-01 2011-05-19 Molecular Imprints Inc Scatterometry alignment for imprint lithography
US7946837B2 (en) 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
WO2011064021A1 (en) 2009-11-30 2011-06-03 Asml Netherlands B.V. Imprint lithography apparatus and method
WO2011064020A1 (en) 2009-11-24 2011-06-03 Asml Netherlands B.V. Alignment and imprint lithography
WO2011072897A1 (en) 2009-12-18 2011-06-23 Asml Netherlands B.V. Imprint lithography
WO2011107302A2 (en) 2010-03-03 2011-09-09 Asml Netherlands B.V. Imprint lithography
US8043085B2 (en) 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
JP2011223009A (en) * 2003-12-19 2011-11-04 University Of North Carolina At Chapel Hill Method for fabrication of isolated micro-and nano-structures using soft or imprint lithography
WO2012016744A1 (en) 2010-08-05 2012-02-09 Asml Netherlands B.V. Imprint lithography
WO2012019874A1 (en) 2010-08-13 2012-02-16 Asml Netherlands B.V. Lithography method and apparatus
WO2012022561A1 (en) 2010-08-16 2012-02-23 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
WO2012025316A1 (en) 2010-08-26 2012-03-01 Asml Netherlands B.V. Imprint lithography method and imprintable medium
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8147235B2 (en) 2003-12-05 2012-04-03 Obducat Ab Device and method for large area lithography
US8222150B2 (en) 2009-03-31 2012-07-17 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device, template, and method of creating pattern inspection data
US8248608B2 (en) 2009-02-04 2012-08-21 Asml Netherlands B.V. Imprint lithography
US8319968B2 (en) 2008-09-11 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8357536B2 (en) 2009-11-30 2013-01-22 Asml Netherlands B.V. Inspection method and apparatus
US8404170B2 (en) 2009-08-14 2013-03-26 Asml Netherlands B.V. Imprint lithography apparatus and method
WO2013047851A1 (en) * 2011-09-29 2013-04-04 Fujifilm Corporation Nanoimprinting method, nanoimprinting apparatus for executing the nanoimprinting method, and method for producing patterned substrates
US8454849B2 (en) 2010-03-22 2013-06-04 Asml Netherlands B.V. Imprint lithography
US8529823B2 (en) 2009-09-29 2013-09-10 Asml Netherlands B.V. Imprint lithography
US8579625B2 (en) 2007-10-11 2013-11-12 Asml Netherlands B.V. Imprint lithography
US8597014B2 (en) 2009-04-27 2013-12-03 Asml Netherlands B.V. Actuator
US8685295B2 (en) 2009-07-06 2014-04-01 Asml Netherlands B.V. Imprint lithography apparatus
US8696969B2 (en) 2009-02-04 2014-04-15 Asml Netherlands B.V. Imprint lithography method and apparatus
US8743361B2 (en) 2010-05-03 2014-06-03 Asml Netherlands B.V. Imprint lithography method and apparatus
US8830455B2 (en) 2009-08-21 2014-09-09 Asml Netherlands B.V. Inspection method and apparatus
US8889055B2 (en) 2009-09-22 2014-11-18 Asml Netherlands B.V. Imprint lithography method
US8961801B2 (en) 2009-08-28 2015-02-24 Asml Netherlands B.V. Imprint lithography method and apparatus
US8967991B2 (en) 2009-07-27 2015-03-03 Asml Netherlands B.V. Imprint lithography template
US8968630B2 (en) 2009-10-28 2015-03-03 Asml Netherlands B.V. Imprint lithography
US9116423B2 (en) 2009-07-06 2015-08-25 Asml Netherlands B.V. Imprint lithography apparatus
US9274418B2 (en) 2009-04-01 2016-03-01 Asml Netherlands B.V. Imprint lithography apparatus and method
EP1927028B1 (en) * 2005-09-14 2016-03-09 Thallner, Erich, Dipl.-Ing. Device and method for the production of a stamp comprising a nanostamping structure
US9372396B2 (en) 2008-10-17 2016-06-21 Asml Netherlands B.V. Imprint lithography method
US9547235B2 (en) 2009-10-07 2017-01-17 Asml Netherlands B.V. Imprint lithography apparatus and method
US9547234B2 (en) 2009-07-27 2017-01-17 Asml Netherlands B.V. Imprint lithography apparatus and method
US20170038677A1 (en) * 2015-08-04 2017-02-09 Kabushiki Kaisha Toshiba Method for manufacturing imprinting template substrate, imprinting template substrate, imprinting template, and method for manufacturing semiconductor apparatus
US9588422B2 (en) 2009-09-29 2017-03-07 Asml Netherlands B.V. Imprint lithography
US9645489B2 (en) 2010-02-16 2017-05-09 Asml Netherlands B.V. Imprint lithography
US9715171B2 (en) 2009-12-23 2017-07-25 Asml Netherlands B.V. Imprint lithographic apparatus and imprint lithographic method
US9927699B2 (en) 2010-03-25 2018-03-27 Asml Netherlands B.V. Imprint lithography
US10222693B2 (en) 2010-07-26 2019-03-05 Asml Netherlands B.V. Imprint lithography alignment method and apparatus
US10712678B2 (en) 2009-07-06 2020-07-14 Asml Netherlands B.V. Imprint lithography apparatus and method

Families Citing this family (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1090293B2 (en) 1998-06-24 2019-01-23 Illumina, Inc. Decoding of array sensors with microspheres
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
KR20030040378A (en) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US20030003436A1 (en) * 2001-02-05 2003-01-02 Willson C. Grant Use of mesoscale self-assembly and recognition to effect delivery of sensing reagent for arrayed sensors
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
JP2003218658A (en) * 2002-01-17 2003-07-31 Nec Corp Method for manufacturing surface acoustic wave element and semiconductor device
US6653030B2 (en) * 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features
DE10211052A1 (en) * 2002-03-13 2003-10-23 Fresenius Medical Care De Gmbh Hollow fiber spinning nozzle
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP4209162B2 (en) * 2002-09-20 2009-01-14 株式会社ニコン PRESSING DEVICE AND METHOD FOR PRODUCING CRYSTAL WITH PHASE TRANSITION TYPE
WO2004027472A1 (en) * 2002-09-20 2004-04-01 Toppan Printing Co., Ltd. Optical waveguide and method for manufacturing same
US7179333B2 (en) * 2002-09-23 2007-02-20 Computrol, Inc. Closure sealant dispenser
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
KR100495055B1 (en) * 2002-10-10 2005-06-14 엘지전자 주식회사 pattern making apparatus and method of UV hardening resin
US7378347B2 (en) * 2002-10-28 2008-05-27 Hewlett-Packard Development Company, L.P. Method of forming catalyst nanoparticles for nanowire growth and other applications
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
EP1443344A1 (en) * 2003-01-29 2004-08-04 Heptagon Oy Manufacturing micro-structured elements
US7374864B2 (en) * 2003-02-13 2008-05-20 The Regents Of The University Of Michigan Combined nanoimprinting and photolithography for micro and nano devices fabrication
JP3910926B2 (en) * 2003-02-26 2007-04-25 株式会社東芝 Method for producing transparent substrate for display device
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040183220A1 (en) * 2003-03-18 2004-09-23 Avinash Dalmia Ultra thin layer coating using self-assembled molecules as a separating layer for diffraction grating application
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20040209123A1 (en) * 2003-04-17 2004-10-21 Bajorek Christopher H. Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off
US20050064452A1 (en) * 2003-04-25 2005-03-24 Schmid Matthew J. System and method for the detection of analytes
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US7651850B2 (en) * 2003-05-16 2010-01-26 Board Of Regents, The University Of Texas System Image and part recognition technology
US9317922B2 (en) 2003-05-16 2016-04-19 Board Of Regents The University Of Texas System Image and part recognition technology
TW571087B (en) * 2003-06-02 2004-01-11 Chen-Hung He Method and system for monitoring the mold strain in nanoimprint lithography technique
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
TW200503167A (en) * 2003-06-20 2005-01-16 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
TWI220267B (en) * 2003-07-31 2004-08-11 Univ Nat Cheng Kung Manufacturing method of transferring pattern with high aspect ratio
US8268446B2 (en) 2003-09-23 2012-09-18 The University Of North Carolina At Chapel Hill Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7557433B2 (en) 2004-10-25 2009-07-07 Mccain Joseph H Microelectronic device with integrated energy source
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US7153360B2 (en) * 2003-12-16 2006-12-26 Hewlett-Packard Development Company, Lp. Template and methods for forming photonic crystals
US9040090B2 (en) * 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
KR100595515B1 (en) * 2003-12-31 2006-07-03 엘지전자 주식회사 Micro-structure patterned mold and a method for coating monolayer release therein
US7255805B2 (en) * 2004-01-12 2007-08-14 Hewlett-Packard Development Company, L.P. Photonic structures, devices, and methods
US20050151282A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece handler and alignment assembly
US20050158419A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
US20050155554A1 (en) * 2004-01-20 2005-07-21 Saito Toshiyuki M. Imprint embossing system
US7686606B2 (en) * 2004-01-20 2010-03-30 Wd Media, Inc. Imprint embossing alignment system
US9307648B2 (en) 2004-01-21 2016-04-05 Microcontinuum, Inc. Roll-to-roll patterning of transparent and metallic layers
US9039401B2 (en) 2006-02-27 2015-05-26 Microcontinuum, Inc. Formation of pattern replicating tools
AU2005220150A1 (en) * 2004-02-13 2005-09-15 The University Of North Carolina At Chapel Hill Functional materials and novel methods for the fabrication of microfluidic devices
KR100585951B1 (en) * 2004-02-18 2006-06-01 한국기계연구원 A construction/separation type individually actuating imprinting apparatus
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7229266B2 (en) * 2004-03-23 2007-06-12 Komag, Inc. Press die alignment
JP4481698B2 (en) * 2004-03-29 2010-06-16 キヤノン株式会社 Processing equipment
EP1731290A1 (en) * 2004-03-30 2006-12-13 Pioneer Corporation Pattern transfer device and pattern transfer method
DE102004028851B4 (en) * 2004-03-31 2006-04-13 Infineon Technologies Ag Method and device for measuring a surface profile of a sample
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
WO2005119802A2 (en) * 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
JP4792028B2 (en) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド Fluid distribution and drop-on-demand distribution technology in nanoscale manufacturing technology
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
EP1774407B1 (en) * 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
JP4574240B2 (en) * 2004-06-11 2010-11-04 キヤノン株式会社 Processing apparatus, processing method, device manufacturing method
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
WO2006024908A2 (en) * 2004-08-10 2006-03-09 Asml Netherlands B.V. Imprint lithographic apparatus, device manufacturing method and device manufactured thereby
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7252862B2 (en) * 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7492979B2 (en) * 2004-09-27 2009-02-17 Hewlett-Packard Development Company, L.P. Photonic crystal laser sensors and methods
JP2006106263A (en) * 2004-10-04 2006-04-20 Fujinon Sano Kk Manufacturing method of optical element
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7344955B2 (en) * 2004-11-19 2008-03-18 International Business Machines Corporation Cut-and-paste imprint lithographic mold and method therefor
KR101275478B1 (en) * 2004-11-22 2013-06-14 스미토모덴키고교가부시키가이샤 Processing method, processing apparatus and microstructure manufactured in accordance with this method
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
WO2006060758A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
KR100633019B1 (en) * 2004-12-24 2006-10-12 한국기계연구원 Method for releasing process of stamp and substrate in nano/microimprint lithography process and structure thereof
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
FI20055022A (en) * 2005-01-17 2006-07-18 Avantone Oy Procedure and apparatus for punching and punching
US20060157898A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Imprint reference template for multilayer or multipattern registration and method therefor
US7796800B2 (en) * 2005-01-28 2010-09-14 Hewlett-Packard Development Company, L.P. Determining a dimensional change in a surface using images acquired before and after the dimensional change
US20060169592A1 (en) * 2005-01-31 2006-08-03 Hewlett-Packard Development Company, L.P. Periodic layered structures and methods therefor
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
KR20070119624A (en) * 2005-02-03 2007-12-20 유니버시티 오브 노스캐롤라이나 앳 채플 힐 Low surface energy polymeric material for use in liquid crystal displays
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7277619B2 (en) * 2005-03-04 2007-10-02 Hewlett-Packard Development Company, L.P. Nano-imprinted photonic crystal waveguide
KR100729427B1 (en) * 2005-03-07 2007-06-15 주식회사 디엠에스 Apparatus for making etching area on substrate
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US8017218B2 (en) * 2005-03-08 2011-09-13 Forskarpatent I Linkoping Ab Micro and nano structures in an elastomeric material
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US7473499B2 (en) * 2005-05-19 2009-01-06 Searete Llc Electroactive polymers for lithography
US7993800B2 (en) * 2005-05-19 2011-08-09 The Invention Science Fund I, Llc Multilayer active mask lithography
US8076227B2 (en) * 2005-05-19 2011-12-13 The Invention Science Fund I, Llc Electroactive polymers for lithography
US8872135B2 (en) * 2005-05-19 2014-10-28 The Invention Science Fund I, Llc Electroactive polymers for lithography
US7435514B2 (en) 2005-05-19 2008-10-14 Searete Llc Active mask lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
EP1731960A1 (en) * 2005-06-07 2006-12-13 Obducat AB Apparatus and method for separating a composite
JP3958344B2 (en) * 2005-06-07 2007-08-15 キヤノン株式会社 Imprint apparatus, imprint method, and chip manufacturing method
US7927089B2 (en) * 2005-06-08 2011-04-19 Canon Kabushiki Kaisha Mold, apparatus including mold, pattern transfer apparatus, and pattern forming method
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US20080202360A1 (en) * 2005-06-13 2008-08-28 Korea Institute Of Machinery & Materials Imprinting Apparatus For Forming Pattern at Uniform Contact by Additional Constant Pressure
JP2007027361A (en) * 2005-07-15 2007-02-01 Toppan Printing Co Ltd Mold for imprint
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
WO2007133235A2 (en) * 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
EP2537657A3 (en) 2005-08-09 2016-05-04 The University of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
US8202075B2 (en) * 2005-08-12 2012-06-19 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US8011916B2 (en) * 2005-09-06 2011-09-06 Canon Kabushiki Kaisha Mold, imprint apparatus, and process for producing structure
JP4330168B2 (en) * 2005-09-06 2009-09-16 キヤノン株式会社 Mold, imprint method, and chip manufacturing method
US20100215909A1 (en) * 2005-09-15 2010-08-26 Macdonald Susan S Photomask for the Fabrication of a Dual Damascene Structure and Method for Forming the Same
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7491049B2 (en) * 2005-09-30 2009-02-17 Hon Hai Precision Industry Co., Ltd. Apparatus for hot embossing lithography
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP5268239B2 (en) * 2005-10-18 2013-08-21 キヤノン株式会社 Pattern forming apparatus and pattern forming method
JP4533358B2 (en) * 2005-10-18 2010-09-01 キヤノン株式会社 Imprint method, imprint apparatus and chip manufacturing method
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) * 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US20070125475A1 (en) * 2005-12-05 2007-06-07 Huber Engineered Woods Llc Handheld tape applicator and components thereof, and their methods of use
EP1957249B1 (en) * 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1795497B1 (en) * 2005-12-09 2012-03-14 Obducat AB Apparatus and method for transferring a pattern with intermediate stamp
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US20070138699A1 (en) 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7943080B2 (en) * 2005-12-23 2011-05-17 Asml Netherlands B.V. Alignment for imprint lithography
US7500431B2 (en) * 2006-01-12 2009-03-10 Tsai-Wei Wu System, method, and apparatus for membrane, pad, and stamper architecture for uniform base layer and nanoimprinting pressure
JP4736821B2 (en) * 2006-01-24 2011-07-27 株式会社日立製作所 Pattern forming method and pattern forming apparatus
JP5213335B2 (en) * 2006-02-01 2013-06-19 キヤノン株式会社 Imprint mold and method for producing structure using the mold
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US20070190805A1 (en) * 2006-02-10 2007-08-16 Benjamin Szu-Min Lin Method for improving the alignment accuracy of semiconductor process and method of forming opening
US20090068765A1 (en) * 2006-03-08 2009-03-12 Kenichi Murooka Method of manufacturing semiconductor device and apparatus for manufacturing semiconductor device
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
CN101405087A (en) * 2006-04-03 2009-04-08 分子制模股份有限公司 Lithography imprinting system
US8193591B2 (en) 2006-04-13 2012-06-05 Freescale Semiconductor, Inc. Transistor and method with dual layer passivation
JP4795300B2 (en) * 2006-04-18 2011-10-19 キヤノン株式会社 Alignment method, imprint method, alignment apparatus, imprint apparatus, and position measurement method
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
JP4814682B2 (en) 2006-04-18 2011-11-16 株式会社日立ハイテクノロジーズ Fine structure pattern transfer method and transfer apparatus
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
JP4958614B2 (en) * 2006-04-18 2012-06-20 キヤノン株式会社 Pattern transfer apparatus, imprint apparatus, pattern transfer method, and alignment apparatus
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
KR101261606B1 (en) 2006-05-09 2013-05-09 삼성디스플레이 주식회사 Apparatus for manufacturing a display panel and method for manufacturing the same
JP4854383B2 (en) * 2006-05-15 2012-01-18 アピックヤマダ株式会社 Imprint method and nano-imprint apparatus
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (en) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Transparent multi-layer composite system capable of reflecting infrared radiation for hardening and/or shaping of substrates and temperature process, comprises layers, anti-reflection coating, blocking layer and dielectric interface layer
WO2007142250A1 (en) 2006-05-31 2007-12-13 Canon Kabushiki Kaisha Gap measuring method, imprint method, and imprint apparatus
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
JP4996150B2 (en) 2006-07-07 2012-08-08 株式会社日立ハイテクノロジーズ Fine structure transfer apparatus and fine structure transfer method
WO2008011051A1 (en) * 2006-07-17 2008-01-24 Liquidia Technologies, Inc. Nanoparticle fabrication methods, systems, and materials
WO2008014519A2 (en) * 2006-07-28 2008-01-31 Microcontinuum, Inc. Addressable flexible patterns
WO2008091386A2 (en) * 2006-08-04 2008-07-31 Northwestern University Biomimetic modular adhesive complex: material, methods and applications therefore
KR100831046B1 (en) * 2006-09-13 2008-05-21 삼성전자주식회사 Mold for nano-imprinting and method of manufacturing the mold
US7780431B2 (en) * 2006-09-14 2010-08-24 Hewlett-Packard Development Company, L.P. Nanoimprint molds and methods of forming the same
JP5027468B2 (en) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 Probe cleaning or probe processing sheet and probe processing method
WO2008097278A2 (en) 2006-09-19 2008-08-14 Molecular Imprints, Inc. Etch-enhanced technique for lift-off patterning
JP4926881B2 (en) * 2006-09-22 2012-05-09 キヤノン株式会社 Imprint apparatus and alignment method
JP2008091782A (en) * 2006-10-04 2008-04-17 Toshiba Corp Pattern forming template, pattern forming method and nano-imprinter
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
KR100795242B1 (en) * 2006-11-03 2008-01-15 학교법인 포항공과대학교 Method for forming gate of semiconductor device and its gate structure
JP2008119870A (en) * 2006-11-09 2008-05-29 Toppan Printing Co Ltd Imprinting mold
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
KR100790899B1 (en) * 2006-12-01 2008-01-03 삼성전자주식회사 Template with alignment mark and manufacturing method for the same
JP4922774B2 (en) * 2007-01-26 2012-04-25 株式会社東芝 Pattern forming method and pattern forming mold
JP5238164B2 (en) 2007-01-26 2013-07-17 株式会社東芝 Pattern formation method
US7846345B2 (en) * 2007-01-31 2010-12-07 United Microelectronics Corp. Method of manufacturing an imprinting template using a semiconductor manufacturing process and the imprinting template obtained
JP5188192B2 (en) * 2007-02-20 2013-04-24 キヤノン株式会社 MOLD, MOLD MANUFACTURING METHOD, IMPRINT APPARATUS, IMPRINT METHOD, AND STRUCTURE MANUFACTURING METHOD USING IMPRINT METHOD
US8940117B2 (en) 2007-02-27 2015-01-27 Microcontinuum, Inc. Methods and systems for forming flexible multilayer structures
US20080206602A1 (en) * 2007-02-28 2008-08-28 Katine Jordan A Nanoimprinting of topography for patterned magnetic media
JP2008225417A (en) * 2007-03-16 2008-09-25 Fujitsu Ltd Manufacturing method for structure
WO2008118861A2 (en) * 2007-03-23 2008-10-02 The University Of North Carolina At Chapel Hill Discrete size and shape specific organic nanoparticles designed to elicit an immune response
US7749422B2 (en) * 2007-03-30 2010-07-06 International Business Machines Corporation Release layer for imprinted photocationic curable resins
KR100881233B1 (en) * 2007-05-23 2009-02-05 한국기계연구원 Stamp for imprint lithography and imprint lithography method using thereof
US20090115094A1 (en) * 2007-05-29 2009-05-07 Chou Stephen Y Methods for making continuous nanochannels
JP5387406B2 (en) 2007-06-20 2014-01-15 旭硝子株式会社 Method for producing molded body having fine pattern on surface
US20090013527A1 (en) * 2007-07-11 2009-01-15 International Business Machines Corporation Collapsable connection mold repair method utilizing femtosecond laser pulse lengths
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
JP5274128B2 (en) * 2007-08-03 2013-08-28 キヤノン株式会社 Imprint method and substrate processing method
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
JP5173311B2 (en) * 2007-08-09 2013-04-03 キヤノン株式会社 Imprint method, imprint apparatus, and semiconductor manufacturing method
US20100204459A1 (en) * 2007-08-27 2010-08-12 The Regents Of The University Of California Systems and methods for producing multi-component colloidal structures
US20100215794A1 (en) * 2007-09-06 2010-08-26 Entire Technology Co., Ltd. Diffusion film molding tool & manufacturing process thereof
KR101051162B1 (en) * 2007-09-18 2011-07-21 주식회사 하이닉스반도체 Nano imprinting apparatus and method of forming semiconductor device using same
JP4880561B2 (en) * 2007-10-03 2012-02-22 新光電気工業株式会社 Flip chip mounting device
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
JP5727788B2 (en) * 2007-11-21 2015-06-03 モレキュラー・インプリンツ・インコーポレーテッド Porous templates and imprint stacks for nanoimprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8114331B2 (en) 2008-01-02 2012-02-14 International Business Machines Corporation Amorphous oxide release layers for imprint lithography, and method of use
US8029716B2 (en) * 2008-02-01 2011-10-04 International Business Machines Corporation Amorphous nitride release layers for imprint lithography, and method of use
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8210840B2 (en) * 2008-04-17 2012-07-03 Massachusetts Institute Of Technology Diaphragm flexure with large range and high load capacity
US8795572B2 (en) * 2008-04-17 2014-08-05 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
EP2289955B1 (en) 2008-05-29 2014-10-15 Asahi Glass Company, Limited Photocurable composition and manufacturing method for a molded body having a fine pattern on the surface
US7920329B2 (en) * 2008-06-20 2011-04-05 Aptina Imaging Corporation Embedded lens for achromatic wafer-level optical module and methods of forming the same
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US9104948B2 (en) 2008-09-16 2015-08-11 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
US8678284B2 (en) 2008-09-16 2014-03-25 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
TW201022017A (en) * 2008-09-30 2010-06-16 Molecular Imprints Inc Particle mitigation for imprint lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8628712B2 (en) * 2008-10-27 2014-01-14 Molecular Imprints, Inc. Misalignment management
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
SG171832A1 (en) * 2008-12-05 2011-07-28 Asahi Glass Co Ltd Photocurable composition and method for manufacturing a molded body having a fine surface pattern
KR20110110107A (en) 2008-12-15 2011-10-06 아사히 가라스 가부시키가이샤 Photo-curing material manufacturing method, and photo-curing material and article
EP2199855B1 (en) * 2008-12-19 2016-07-20 Obducat Methods and processes for modifying polymer material surface interactions
EP2199854B1 (en) * 2008-12-19 2015-12-16 Obducat AB Hybrid polymer mold for nano-imprinting and method for making the same
JP5315263B2 (en) * 2009-02-05 2013-10-16 Agcセイミケミカル株式会社 Photocurable composition and method for producing molded article having fine pattern on surface
JP5404140B2 (en) * 2009-04-01 2014-01-29 株式会社東芝 Template and semiconductor device manufacturing method
JP5377053B2 (en) * 2009-04-17 2013-12-25 株式会社東芝 Template, manufacturing method thereof, and pattern forming method
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
JP5284212B2 (en) * 2009-07-29 2013-09-11 株式会社東芝 Manufacturing method of semiconductor device
EP3293573A1 (en) * 2009-08-26 2018-03-14 Molecular Imprints, Inc. Functional nanoparticles
US8496466B1 (en) 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
US8402638B1 (en) 2009-11-06 2013-03-26 Wd Media, Inc. Press system with embossing foil free to expand for nano-imprinting of recording media
US9330685B1 (en) 2009-11-06 2016-05-03 WD Media, LLC Press system for nano-imprinting of recording media with a two step pressing method
JP2011103362A (en) * 2009-11-10 2011-05-26 Toshiba Corp Pattern forming method
US8747092B2 (en) 2010-01-22 2014-06-10 Nanonex Corporation Fast nanoimprinting apparatus using deformale mold
EP2529274B1 (en) 2010-01-29 2014-10-08 Canon Nanotechnologies, Inc. Nanoimprint lithography processes for forming nanoparticles
WO2011096368A1 (en) 2010-02-03 2011-08-11 旭硝子株式会社 Process for producing article having finely rugged structure on surface
KR101772993B1 (en) * 2010-02-05 2017-08-31 캐논 나노테크놀로지즈 인코퍼레이티드 Templates having high contrast alignment marks
KR20110092546A (en) * 2010-02-09 2011-08-18 삼성전자주식회사 Apparatus for nano imprint and method of fabricating semiconductor device using the same
JP5732724B2 (en) * 2010-02-18 2015-06-10 大日本印刷株式会社 Nanoimprint method
US20110272838A1 (en) * 2010-05-06 2011-11-10 Matt Malloy Apparatus, System, and Method for Nanoimprint Template with a Backside Recess Having Tapered Sidewalls
JP2011258605A (en) * 2010-06-04 2011-12-22 Toshiba Corp Patterning method and method of manufacturing semiconductor device
JP5647829B2 (en) * 2010-07-30 2015-01-07 Agcセイミケミカル株式会社 Photocurable composition and method for producing molded article having fine pattern on surface
KR101692101B1 (en) 2010-08-04 2017-01-02 아사히 가라스 가부시키가이샤 Photocurable composition and method for producing molded body having surface that is provided with fine pattern
JP5648362B2 (en) * 2010-08-10 2015-01-07 住友電気工業株式会社 Method for producing mold for nanoimprint, method for producing resin pattern by nanoimprint method, and mold for nanoimprint
JP5247777B2 (en) * 2010-08-30 2013-07-24 キヤノン株式会社 Imprint apparatus and device manufacturing method
WO2012058565A2 (en) 2010-10-29 2012-05-03 Nanoink, Inc. Injection molding of micron and nano scale features for pharmaceutical brand protection
CN103282303A (en) 2010-11-05 2013-09-04 分子制模股份有限公司 Nanoimprint lithography formation of functional nanoparticles using dual release layers
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8845912B2 (en) 2010-11-22 2014-09-30 Microcontinuum, Inc. Tools and methods for forming semi-transparent patterning masks
KR20130006744A (en) 2011-04-05 2013-01-18 삼성전자주식회사 Method of manufacturing a mask and apparatus for performing the same
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
CZ2011555A3 (en) * 2011-09-06 2013-03-13 Active Optix S.R.O. Method of making product with functional relief surface of high resolution
JP5891006B2 (en) * 2011-11-01 2016-03-22 東京エレクトロン株式会社 Optical interference system, substrate processing apparatus, and measuring method
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same
US9278857B2 (en) * 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP5661666B2 (en) 2012-02-29 2015-01-28 株式会社東芝 Pattern forming apparatus and semiconductor device manufacturing method
WO2013165415A1 (en) 2012-05-02 2013-11-07 Nanoink, Inc. Molding of micron and nano scale features
CN102929100B (en) * 2012-11-22 2014-11-19 南昌欧菲光纳米科技有限公司 Device and method for implementing alignment reel-to-reel UV (ultraviolet) forming
WO2014115728A1 (en) * 2013-01-24 2014-07-31 綜研化学株式会社 Light-transmitting imprinting mold and method for manufacturing large-area mold
US9385089B2 (en) 2013-01-30 2016-07-05 Seagate Technology Llc Alignment mark recovery with reduced topography
US9426886B2 (en) 2013-01-30 2016-08-23 Seagate Technology Llc Electrical connection with reduced topography
US9343089B2 (en) * 2013-03-08 2016-05-17 Seagate Technology Llc Nanoimprint lithography for thin film heads
WO2014145360A1 (en) 2013-03-15 2014-09-18 Nanonex Corporation Imprint lithography system and method for manufacturing
WO2014145826A2 (en) 2013-03-15 2014-09-18 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
CN103116242B (en) * 2013-03-15 2014-10-01 南京大学 Method for preparing heterostructure without aligning nano press printing
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
KR102056902B1 (en) 2013-05-29 2019-12-18 삼성전자주식회사 Wire grid polarizer and liquid crystal display panel and liquid crystal display device having the same
KR102089661B1 (en) 2013-08-27 2020-03-17 삼성전자주식회사 Wire grid polarizer and liquid crystal display panel and liquid crystal display device having the same
JP5804160B2 (en) * 2013-09-19 2015-11-04 大日本印刷株式会社 Imprint method and imprint mold manufacturing method
JP6363838B2 (en) * 2014-01-08 2018-07-25 キヤノン株式会社 Imprint apparatus, imprint method, and article manufacturing method
WO2016089308A1 (en) * 2014-12-02 2016-06-09 Agency for Science,Technology and Research Photolithography methods
JP6448469B2 (en) * 2015-05-27 2019-01-09 東芝メモリ株式会社 Template and pattern forming method
KR102609587B1 (en) 2016-07-19 2023-12-05 삼성디스플레이 주식회사 Method of manufacturing imprint stamp and display apparatus manufactured using the imprint stamp
CN105974731B (en) * 2016-07-25 2020-01-03 京东方科技集团股份有限公司 Stamping plate, detection method and detection device
KR20180023102A (en) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 Wire grid pattern and method for fabricating the same
US10627715B2 (en) * 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
KR102231664B1 (en) * 2016-12-02 2021-03-23 몰레큘러 임프린츠 인코퍼레이티드 How to Construct Optical Layers in Imprint Lithography Processes
US10712660B2 (en) * 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
JP2020044456A (en) * 2017-01-18 2020-03-26 綜研化学株式会社 Hard coat film and method for producing the same
CN110546734A (en) 2017-03-08 2019-12-06 佳能株式会社 Method for producing cured product pattern, method for producing optical member, circuit board, and quartz mold replica, coating material for imprint pretreatment, and cured product thereof
KR102256347B1 (en) 2017-03-08 2021-05-27 캐논 가부시끼가이샤 Pattern formation method, processing substrate, manufacturing method of optical component and quartz mold replica, and imprint pretreatment coating material and set of the same and imprint resist
JP6993782B2 (en) 2017-03-09 2022-01-14 キヤノン株式会社 Imprinting equipment and article manufacturing method
EP3595864B1 (en) 2017-03-16 2022-07-27 Molecular Imprints, Inc. Optical polymer films and methods for casting the same
KR102288981B1 (en) * 2017-04-17 2021-08-13 에스케이하이닉스 주식회사 Imprint template and methods of forming imprint patterns
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
DE102017118836B3 (en) 2017-08-17 2019-01-17 Marco Systemanalyse Und Entwicklung Gmbh metering
JP7142691B2 (en) 2017-10-17 2022-09-27 マジック リープ, インコーポレイテッド Method and apparatus for molding polymer products
US10679110B2 (en) 2018-04-01 2020-06-09 Ramot At Tel-Aviv University Ltd. Nanotags for authentication
US11137536B2 (en) * 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11009661B2 (en) 2018-10-16 2021-05-18 Magic Leap, Inc. Methods and apparatuses for casting polymer products
CN109445247B (en) * 2018-11-16 2020-06-19 京东方科技集团股份有限公司 Imprint template, preparation method thereof and imprint method
US11018018B2 (en) 2018-12-05 2021-05-25 Canon Kabushiki Kaisha Superstrate and methods of using the same
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
CN113646668A (en) 2019-04-11 2021-11-12 应用材料公司 Multi-depth film for optical devices
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
WO2020234848A1 (en) * 2019-05-22 2020-11-26 Vuereal Inc. Systems and methods for transferring devices or patterns to a substrate
CN110333643B (en) * 2019-08-06 2023-05-12 广纳四维(广东)光电科技有限公司 Nanometer imprinting template, preparation method thereof and nanometer imprinting method
CN110838832B (en) * 2019-11-18 2022-06-21 中国电子科技集团公司第二十六研究所 Manufacturing method of miniature three-dimensional stacked MEMS (micro-electromechanical systems) resonant device
JP7414576B2 (en) 2020-02-21 2024-01-16 キヤノン株式会社 Position measuring device, overlay inspection device, position measuring method, imprint device, and article manufacturing method
CN112588222B (en) * 2020-11-25 2022-02-18 浙江大学 Preparation device and method of porous polymer with porosity and arrangement regulated and controlled by surface acoustic waves

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5355219A (en) * 1992-12-18 1994-10-11 Matsushita Electric Industrial Co., Ltd. Gap control apparatus and method utilizing heterodyne signal phase difference detection
US6088103A (en) * 1995-05-31 2000-07-11 Massachusetts Institute Of Technology Optical interference alignment and gapping apparatus
WO2001033300A2 (en) * 1999-10-29 2001-05-10 The Board Of Regents High precision orientation alignment and gap control stages for imprint lithography processes

Family Cites Families (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US473725A (en) * 1892-04-26 Stone or ore crushing machine
GB1146618A (en) 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3770852A (en) * 1966-04-12 1973-11-06 Nat Distillers Chem Corp Polyolefin resin blends
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (en) 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (en) 1977-01-07 1978-07-13 Instruments Sa Mass prodn. method for grids, graticules etc. - using revolving drum, belt carrying resin and UV light source for polymerisation process
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (en) 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
DE3167483D1 (en) * 1980-09-05 1985-01-10 Matsushita Electric Ind Co Ltd A method of producing an information recording disk
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4512948A (en) 1982-03-03 1985-04-23 Owens-Illinois, Inc. Method for making poly(ethylene terephthalate) article
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (en) 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
NL8600809A (en) 1986-03-28 1987-10-16 Philips Nv METHOD OF FILLING A DIE WITH A LOOSE LAYER.
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
US5144552A (en) * 1986-07-25 1992-09-01 Ricoh Company, Ltd. Optical information storage medium having grooves and pits with specific depths, respectively
JPS6334108A (en) 1986-07-30 1988-02-13 Hitachi Ltd Manufacture of substrate for optical disc and device therefor
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US5344304A (en) * 1987-09-05 1994-09-06 Canon Kabushiki Kaisha Mold for molding of substrate for information recording medium
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5639300A (en) * 1987-12-07 1997-06-17 Massachusetts Institute Of Technology Epitaxy with reusable template
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (en) 1988-01-30 1989-08-08 Hoya Corp Manufacture of substrate for optical information recording medium
DE3810391A1 (en) * 1988-03-26 1989-10-05 Leybold Ag DEVICE AND METHOD FOR CONTROLLING AND MONITORING A DEFLECTABLE ELECTRON BEAM FOR METAL WORKING
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
EP0394741B1 (en) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Process for producing etch resistant structures
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (en) 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (en) 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
US5234717A (en) * 1990-06-14 1993-08-10 Nippon Sheet Glass Co., Ltd. Process for producing a minute-patterned substrate
JP2524436B2 (en) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JPH0536128A (en) * 1990-12-20 1993-02-12 Hitachi Ltd High density information recording medium and recording device using this
NL9100215A (en) * 1991-02-07 1992-09-01 Asm Lithography Bv Apparatus for the repetitive imaging of a mask pattern on a substrate.
SE467678B (en) 1991-03-26 1992-08-24 Lennart Olsson SET AND DEVICE FOR CHANGING THE ANGLE SPEED OF A DRIVED ROTATING MEDIA BODY
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (en) 1991-07-16 1993-01-29 Nikon Corp Retaining equipment
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (en) 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (en) 1992-09-07 1994-09-02 Mitsubishi Electric Corp Semiconductor manufacturing apparatus, wafer vacuum chuck device thereof, and gas cleaning and nitride film formation therefor
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (en) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
KR970009858B1 (en) 1994-01-12 1997-06-18 엘지반도체 주식회사 Multi-layer photoresist patterning method
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
KR0157279B1 (en) 1994-03-15 1999-05-01 모리시타 요이찌 Exposure apparatus for transferring a mask pattern onto a substrate
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5632936A (en) * 1994-05-04 1997-05-27 Ciba-Geigy Ag Method and apparatus for molding ophthalmic lenses using vacuum injection
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
DE19509452A1 (en) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Tool with demolding device for molding micro-structured components
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5648188A (en) * 1995-06-07 1997-07-15 International Business Machines Corporation Real time alignment system for a projection electron beam lithographic system
JP3624476B2 (en) 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
US5804017A (en) * 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US6614522B1 (en) * 1995-09-08 2003-09-02 Integ, Inc. Body fluid sampler
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
SE508373C2 (en) 1995-10-30 1998-09-28 Obducat Ab Krypto system for optical storage media
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (en) 1996-03-28 2006-10-11 日本トムソン株式会社 XY table using linear electromagnetic actuator
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
DE938597T1 (en) 1996-09-06 2000-03-09 Obducat Ab METHOD FOR ANISOTROPE ETCHING STRUCTURES IN CONDUCTIVE MATERIALS
KR100483224B1 (en) * 1996-10-30 2005-09-30 세이코 엡슨 가부시키가이샤 Color filter and its manufacturing method
JPH10172897A (en) 1996-12-05 1998-06-26 Nikon Corp Substrate adaptor, substrate holder and method for holding substrate
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
JPH10242041A (en) * 1997-02-26 1998-09-11 Nikon Corp Position detection method and apparatus, and aligner
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (en) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JP4038272B2 (en) * 1997-06-04 2008-01-23 東芝松下ディスプレイテクノロジー株式会社 Method and apparatus for assembling liquid crystal display device
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
SE511682C2 (en) 1998-03-05 1999-11-08 Etchtech Sweden Ab Resistance in electrical conductors on or in circuit boards, substrates and semiconductor trays
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
SE513967C2 (en) 1998-05-29 2000-12-04 Obducat Ab Raw matrix for optical memory media as well as ways to manufacture such matrix
US6680214B1 (en) * 1998-06-08 2004-01-20 Borealis Technical Limited Artificial band gap
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (en) 1999-04-06 2008-09-17 松下電器産業株式会社 Paste coating apparatus and paste coating method for die bonding
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6217901B1 (en) * 1999-05-25 2001-04-17 Alnis, Llc Liposome-assisted synthesis of polymeric nanoparticles
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (en) 1999-06-29 2001-05-25 Applied Materials Inc Integrated dimension control for semiconductor device manufacturing
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6744909B1 (en) * 1999-08-19 2004-06-01 Physical Optics Corporation Authentication system and method
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (en) 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
SE515607C2 (en) * 1999-12-10 2001-09-10 Obducat Ab Device and method for fabrication of structures
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
ATE332517T1 (en) 2000-01-21 2006-07-15 Obducat Ab MOLD FOR NANOPRINTING
SE515785C2 (en) 2000-02-23 2001-10-08 Obducat Ab Apparatus for homogeneous heating of an object and use of the apparatus
US6234379B1 (en) 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
SE515962C2 (en) 2000-03-15 2001-11-05 Obducat Ab Device for transferring patterns to objects
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (en) 2000-06-15 2001-12-26 Canon Inc Exposure apparatus
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
US6921615B2 (en) 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US8016277B2 (en) 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
EP1352295B1 (en) 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
FR2815642B1 (en) * 2000-10-20 2003-07-11 Pechiney Rhenalu ROTARY GAS DISPERSION DEVICE FOR THE TREATMENT OF A LIQUID METAL BATH
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
JP2004515918A (en) 2000-12-04 2004-05-27 株式会社荏原製作所 Substrate processing apparatus and method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2002302862A (en) * 2001-04-06 2002-10-18 Mitsui Chemicals Inc Method of producing nonwoven fabric and apparatus therefor
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (en) 2001-05-23 2002-12-06 Hitachi Ltd Method of manufacturing semiconductor device
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6787071B2 (en) * 2001-06-11 2004-09-07 General Electric Company Method and apparatus for producing data storage media
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
CN100347608C (en) 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6555411B1 (en) * 2001-12-18 2003-04-29 Lucent Technologies Inc. Thin film transistors
US6743368B2 (en) 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
DE10307518B4 (en) 2002-02-22 2011-04-14 Hoya Corp. Halftone phase shift mask blank, halftone phase shift mask and method of making the same
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003244130A1 (en) * 2002-06-20 2004-03-11 Matsushita Electric Industrial Co., Ltd. Switch device
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7526403B2 (en) * 2002-07-31 2009-04-28 Dahlgren, Llc Mortar ballistic computer and system
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6840878B2 (en) * 2002-12-23 2005-01-11 Depuy Products, Inc. Idler assembly for a sanding/polishing device
JP4651390B2 (en) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ UV nanoimprint lithography using multiple relief element stamps
US20040202865A1 (en) * 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) * 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US6967798B2 (en) * 2003-12-19 2005-11-22 Komag, Inc. Magnetic recording disk having DTR patterned CSS zone
KR100566700B1 (en) * 2004-01-15 2006-04-03 삼성전자주식회사 Method for forming mask pattern, template for forming mask pattern and method for forming template
TWI277815B (en) * 2004-01-16 2007-04-01 Hannstar Display Corp Liquid crystal display and manufacturing method of liquid crystal display including substrate
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
WO2005119802A2 (en) * 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7533905B2 (en) * 2005-06-02 2009-05-19 Hewlett-Packard Development Company, L.P. Anti-counterfeiting system and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5355219A (en) * 1992-12-18 1994-10-11 Matsushita Electric Industrial Co., Ltd. Gap control apparatus and method utilizing heterodyne signal phase difference detection
US6088103A (en) * 1995-05-31 2000-07-11 Massachusetts Institute Of Technology Optical interference alignment and gapping apparatus
WO2001033300A2 (en) * 1999-10-29 2001-05-10 The Board Of Regents High precision orientation alignment and gap control stages for imprint lithography processes

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
HAISMA J ET AL: "MOLD-ASSISTED NANOLITHOGRAPHY: A PROCESS FOR RELIABLE PATTERN REPLICATION" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 14, no. 6, 1 November 1996 (1996-11-01), pages 4124-4128, XP000721137 ISSN: 0734-211X *
RUCHHOEFT P ET AL: "Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography" 43RD INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION, MARCO ISLAND, FL, USA, 1-4 JUNE 1999, vol. 17, no. 6, pages 2965-2969, XP002206574 Journal of Vacuum Science & Technology B (Microelectronics and Nanometer Structures), Nov. 1999, AIP for American Vacuum Soc, USA ISSN: 0734-211X *
WHITE D L ET AL: "Novel alignment system for imprint lithography" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 18, no. 6, November 2000 (2000-11), pages 3552-3556, XP002204287 44th Intnl. Conf.. on Electron, Ion and Photon Beam Technology and Nanofabrication, 30-May to 2-June 2000, Rancho Mirage, CA, USA ISSN: 0734-211X *

Cited By (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011101016A (en) * 2002-08-01 2011-05-19 Molecular Imprints Inc Scatterometry alignment for imprint lithography
EP1424309A2 (en) * 2002-10-24 2004-06-02 Hewlett-Packard Development Company, L.P. Hardened nano-imprinting stamp
EP1424309A3 (en) * 2002-10-24 2006-01-25 Hewlett-Packard Development Company, L.P. Hardened nano-imprinting stamp
WO2004045858A1 (en) * 2002-11-21 2004-06-03 Council Of Scientific And Industrial Research Colored nanolithography on glass and plastic substrates
EP1570249A4 (en) * 2002-12-12 2009-03-25 Molecular Imprints Inc Method and system for determining characteristics of substrates employing fluid geometries
EP1570249A2 (en) * 2002-12-12 2005-09-07 Molecular Imprints, Inc. Method and system for determining characteristics of substrates employing fluid geometries
EP2418544A3 (en) * 2002-12-12 2012-04-04 Molecular Imprints, Inc. Method and system for determining characteristics of substrates employing fluid geometries
JP2006510223A (en) * 2002-12-13 2006-03-23 モレキュラー・インプリンツ・インコーポレーテッド Magnification correction using substrate surface curvature
US7401549B2 (en) 2003-03-17 2008-07-22 Infineon Technologies Ag Arrangement for transferring information/structures to wafers
DE10311855B4 (en) * 2003-03-17 2005-04-28 Infineon Technologies Ag Arrangement for transferring information / structures to wafers using a stamp
DE10311855A1 (en) * 2003-03-17 2004-10-14 Infineon Technologies Ag Appliance for transfer of information or structures onto wafer, using stamp with raised structures produced by suitable method, e.g. photolithography in conjunction with etching
EP1606834A1 (en) * 2003-03-27 2005-12-21 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
EP1606834A4 (en) * 2003-03-27 2009-04-01 Korea Mach & Materials Inst Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
JP2007504683A (en) * 2003-05-14 2007-03-01 モレキュラー・インプリンツ・インコーポレーテッド Method, system, holder, assembly for moving a template during an imprint lithography process
JP4937750B2 (en) * 2003-05-14 2012-05-23 モレキュラー・インプリンツ・インコーポレーテッド Method, system, holder, assembly for moving a template during an imprint lithography process
DE10330456B4 (en) * 2003-07-05 2007-07-26 Erich Thallner Device for creating a surface structure on a wafer
DE10330456B9 (en) * 2003-07-05 2007-11-08 Erich Thallner Device for creating a surface structure on a wafer
DE10330456A1 (en) * 2003-07-05 2005-02-17 Erich Thallner Device for creating a surface structure on a wafer
JP2007535121A (en) * 2003-07-09 2007-11-29 モレキュラー・インプリンツ・インコーポレーテッド System for correcting magnification and distortion in imprint lithography processes
EP1642170A4 (en) * 2003-07-09 2009-04-15 Molecular Imprints Inc Systems for magnification and distortion correction for imprint lithography processes
EP1642170A2 (en) * 2003-07-09 2006-04-05 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
DE10343323A1 (en) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stamp lithography method and device and stamp for the stamp lithograph
US8147235B2 (en) 2003-12-05 2012-04-03 Obducat Ab Device and method for large area lithography
US11642313B2 (en) 2003-12-19 2023-05-09 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
US10842748B2 (en) 2003-12-19 2020-11-24 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
JP2011223009A (en) * 2003-12-19 2011-11-04 University Of North Carolina At Chapel Hill Method for fabrication of isolated micro-and nano-structures using soft or imprint lithography
US7997890B2 (en) 2004-05-07 2011-08-16 Obducat Ab Device and method for lithography
US7972553B2 (en) 2004-05-07 2011-07-05 Obducat Ab Method for imprint lithography at constant temperature
EP1594001A1 (en) 2004-05-07 2005-11-09 Obducat AB Device and method for imprint lithography
JP2008504140A (en) * 2004-06-01 2008-02-14 モレキュラー・インプリンツ・インコーポレーテッド Compliant devices for nanoscale manufacturing
US8387482B2 (en) 2004-06-01 2013-03-05 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
JP2008501245A (en) * 2004-06-01 2008-01-17 モレキュラー・インプリンツ・インコーポレーテッド Method and system for controlling body movement for nanoscale manufacturing
KR101127970B1 (en) 2004-06-01 2012-04-12 몰레큘러 임프린츠 인코퍼레이티드 Compliant device for nano-scale manufacturing
US7654816B2 (en) 2004-10-07 2010-02-02 Hewlett-Packard Development Company, L.P. Lithographic mask alignment
EP1669802A3 (en) * 2004-12-09 2009-04-22 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
EP2189843A3 (en) * 2004-12-09 2010-06-02 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
US7815424B2 (en) 2004-12-09 2010-10-19 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
EP1669802A2 (en) 2004-12-09 2006-06-14 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
US8834144B2 (en) 2004-12-09 2014-09-16 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
US7629259B2 (en) 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
EP1927028B1 (en) * 2005-09-14 2016-03-09 Thallner, Erich, Dipl.-Ing. Device and method for the production of a stamp comprising a nanostamping structure
DE102006019962A1 (en) * 2006-04-28 2007-11-08 Infineon Technologies Ag Imprint mask and method for aligning the imprint mask
US7946837B2 (en) 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
WO2008099795A3 (en) * 2007-02-06 2009-02-12 Canon Kk Imprint method and imprint apparatus
US10990005B2 (en) 2007-02-06 2021-04-27 Canon Kabushiki Kaisha Method in which alignment control of a member and a substrate is effected with respect to an in-plane direction of the substrate and an uncured material in a state of bringing a member and the uncured material on a substrate into contact
US10670961B2 (en) 2007-02-06 2020-06-02 Canon Kabushiki Kaisha Imprinting apparatus for producing a member in which a mold contacts a pattern forming layer using alignment control in an in-plane direction of a substrate
WO2008099795A2 (en) 2007-02-06 2008-08-21 Canon Kabushiki Kaisha Imprint method and imprint apparatus
US9579843B2 (en) 2007-02-06 2017-02-28 Canon Kabushiki Kaisha Imprint apparatus in which alignment control of a mold and a substrate is effected
US9573319B2 (en) 2007-02-06 2017-02-21 Canon Kabushiki Kaisha Imprinting method and process for producing a member in which a mold contacts a pattern forming layer
KR101281279B1 (en) * 2007-02-06 2013-07-03 캐논 가부시끼가이샤 Imprint method and imprint apparatus
US7641467B2 (en) 2007-05-02 2010-01-05 Asml Netherlands B.V. Imprint lithography
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
EP2026131A2 (en) 2007-08-14 2009-02-18 ASML Netherlands BV Lithography meandering order
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8323541B2 (en) 2007-09-05 2012-12-04 Asml Netherlands B.V. Imprint lithography
US10654217B2 (en) 2007-10-11 2020-05-19 Asml Netherlands B.V. Imprint lithography
US9889597B2 (en) 2007-10-11 2018-02-13 Asml Netherlands B.V. Imprint lithography
US8579625B2 (en) 2007-10-11 2013-11-12 Asml Netherlands B.V. Imprint lithography
WO2009056440A1 (en) * 2007-10-31 2009-05-07 Ecole Centrale De Lyon Pad microprinting device and methods, and pad for this device
FR2922813A1 (en) * 2007-10-31 2009-05-01 Ecole Centrale De Lyon Etablis DEVICE AND METHODS FOR MICROTAMPONING AND BUFFER FOR THIS DEVICE
US8833256B2 (en) 2007-10-31 2014-09-16 Ecole Centrale De Lyon Pad microprinting device and methods, and pad for this device
US8043085B2 (en) 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
US8319968B2 (en) 2008-09-11 2012-11-27 Asml Netherlands B.V. Imprint lithography
US9372396B2 (en) 2008-10-17 2016-06-21 Asml Netherlands B.V. Imprint lithography method
US8696969B2 (en) 2009-02-04 2014-04-15 Asml Netherlands B.V. Imprint lithography method and apparatus
US8248608B2 (en) 2009-02-04 2012-08-21 Asml Netherlands B.V. Imprint lithography
US8222150B2 (en) 2009-03-31 2012-07-17 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device, template, and method of creating pattern inspection data
US9274418B2 (en) 2009-04-01 2016-03-01 Asml Netherlands B.V. Imprint lithography apparatus and method
US8597014B2 (en) 2009-04-27 2013-12-03 Asml Netherlands B.V. Actuator
US8845320B2 (en) 2009-05-19 2014-09-30 Asml Netherlands B.V. Imprint lithography apparatus
US20100297282A1 (en) * 2009-05-19 2010-11-25 Asml Netherlands B.V. Imprint lithography apparatus
US8685295B2 (en) 2009-07-06 2014-04-01 Asml Netherlands B.V. Imprint lithography apparatus
US9116423B2 (en) 2009-07-06 2015-08-25 Asml Netherlands B.V. Imprint lithography apparatus
US10712678B2 (en) 2009-07-06 2020-07-14 Asml Netherlands B.V. Imprint lithography apparatus and method
US8967991B2 (en) 2009-07-27 2015-03-03 Asml Netherlands B.V. Imprint lithography template
US9547234B2 (en) 2009-07-27 2017-01-17 Asml Netherlands B.V. Imprint lithography apparatus and method
US8404170B2 (en) 2009-08-14 2013-03-26 Asml Netherlands B.V. Imprint lithography apparatus and method
US8830455B2 (en) 2009-08-21 2014-09-09 Asml Netherlands B.V. Inspection method and apparatus
US8961801B2 (en) 2009-08-28 2015-02-24 Asml Netherlands B.V. Imprint lithography method and apparatus
US9278466B2 (en) 2009-08-28 2016-03-08 Asml Netherlands B.V. Imprint lithography method and apparatus
US8889055B2 (en) 2009-09-22 2014-11-18 Asml Netherlands B.V. Imprint lithography method
US8529823B2 (en) 2009-09-29 2013-09-10 Asml Netherlands B.V. Imprint lithography
US9588422B2 (en) 2009-09-29 2017-03-07 Asml Netherlands B.V. Imprint lithography
US9547235B2 (en) 2009-10-07 2017-01-17 Asml Netherlands B.V. Imprint lithography apparatus and method
US9658528B2 (en) 2009-10-28 2017-05-23 Asml Netherlands B.V. Imprint lithography
US8968630B2 (en) 2009-10-28 2015-03-03 Asml Netherlands B.V. Imprint lithography
WO2011064020A1 (en) 2009-11-24 2011-06-03 Asml Netherlands B.V. Alignment and imprint lithography
US8691124B2 (en) 2009-11-24 2014-04-08 Asml Netherlands B.V. Alignment and imprint lithography
US9726973B2 (en) 2009-11-30 2017-08-08 Asml Netherlands B.V. Imprint lithography apparatus and method
US8357536B2 (en) 2009-11-30 2013-01-22 Asml Netherlands B.V. Inspection method and apparatus
WO2011064021A1 (en) 2009-11-30 2011-06-03 Asml Netherlands B.V. Imprint lithography apparatus and method
WO2011072897A1 (en) 2009-12-18 2011-06-23 Asml Netherlands B.V. Imprint lithography
US9625811B2 (en) 2009-12-18 2017-04-18 Asml Netherlands B.V. Imprint lithography
US9715171B2 (en) 2009-12-23 2017-07-25 Asml Netherlands B.V. Imprint lithographic apparatus and imprint lithographic method
US9645489B2 (en) 2010-02-16 2017-05-09 Asml Netherlands B.V. Imprint lithography
WO2011107302A2 (en) 2010-03-03 2011-09-09 Asml Netherlands B.V. Imprint lithography
US9535322B2 (en) 2010-03-03 2017-01-03 Asml Netherlands B.V. Imprint lithography
US9958774B2 (en) 2010-03-03 2018-05-01 Asml Netherlands B.V. Imprint lithography
US8454849B2 (en) 2010-03-22 2013-06-04 Asml Netherlands B.V. Imprint lithography
US9927699B2 (en) 2010-03-25 2018-03-27 Asml Netherlands B.V. Imprint lithography
US8743361B2 (en) 2010-05-03 2014-06-03 Asml Netherlands B.V. Imprint lithography method and apparatus
US10222693B2 (en) 2010-07-26 2019-03-05 Asml Netherlands B.V. Imprint lithography alignment method and apparatus
WO2012016744A1 (en) 2010-08-05 2012-02-09 Asml Netherlands B.V. Imprint lithography
US11635696B2 (en) 2010-08-05 2023-04-25 Asml Netherlands B.V. Imprint lithography
US10908510B2 (en) 2010-08-05 2021-02-02 Asml Netherlands B.V. Imprint lithography
US9864279B2 (en) 2010-08-05 2018-01-09 Asml Netherlands B.V. Imprint lithography
US10890851B2 (en) 2010-08-05 2021-01-12 Asml Netherlands B.V. Imprint lithography
US9310700B2 (en) 2010-08-13 2016-04-12 Asml Netherlands B.V. Lithography method and apparatus
WO2012019874A1 (en) 2010-08-13 2012-02-16 Asml Netherlands B.V. Lithography method and apparatus
WO2012022561A1 (en) 2010-08-16 2012-02-23 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
US9274441B2 (en) 2010-08-16 2016-03-01 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
WO2012025316A1 (en) 2010-08-26 2012-03-01 Asml Netherlands B.V. Imprint lithography method and imprintable medium
US9372399B2 (en) 2010-08-26 2016-06-21 Asml Netherlands B.V. Imprint lithography method and imprintable medium
WO2013047851A1 (en) * 2011-09-29 2013-04-04 Fujifilm Corporation Nanoimprinting method, nanoimprinting apparatus for executing the nanoimprinting method, and method for producing patterned substrates
US10816896B2 (en) * 2015-08-04 2020-10-27 Toshiba Memory Corporation Method for manufacturing imprinting template substrate, imprinting template substrate, imprinting template, and method for manufacturing semiconductor apparatus
US20170038677A1 (en) * 2015-08-04 2017-02-09 Kabushiki Kaisha Toshiba Method for manufacturing imprinting template substrate, imprinting template substrate, imprinting template, and method for manufacturing semiconductor apparatus

Also Published As

Publication number Publication date
US20080095878A1 (en) 2008-04-24
CN100365507C (en) 2008-01-30
CN1531668A (en) 2004-09-22
KR20040004401A (en) 2004-01-13
EP1352295A2 (en) 2003-10-15
US7060324B2 (en) 2006-06-13
KR101031528B1 (en) 2011-04-27
US20040141163A1 (en) 2004-07-22
US7708542B2 (en) 2010-05-04
US6696220B2 (en) 2004-02-24
US20040170771A1 (en) 2004-09-02
US7229273B2 (en) 2007-06-12
US20100173033A1 (en) 2010-07-08
EP2306242A3 (en) 2011-11-02
US8033814B2 (en) 2011-10-11
EP2306242A2 (en) 2011-04-06
US20020115002A1 (en) 2002-08-22
EP1352295B1 (en) 2015-12-23
WO2002067055A3 (en) 2002-10-10
AU2001297642A1 (en) 2002-09-04
JP2004523906A (en) 2004-08-05
US20040168586A1 (en) 2004-09-02

Similar Documents

Publication Publication Date Title
US6696220B2 (en) Template for room temperature, low pressure micro-and nano-imprint lithography
US8016277B2 (en) Flexure based macro motion translation stage
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6902853B2 (en) Dual wavelength method of determining a relative position of a substrate and a template
EP1303793B1 (en) Method and system of automatic fluid dispensing for imprint lithography processes

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PH PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020037005130

Country of ref document: KR

Ref document number: 2002566722

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2001273791

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 01820435X

Country of ref document: CN

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 2001273791

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037005130

Country of ref document: KR