US8024387B2 - Method for synthesizing linear finite state machines - Google Patents

Method for synthesizing linear finite state machines Download PDF

Info

Publication number
US8024387B2
US8024387B2 US11/894,393 US89439307A US8024387B2 US 8024387 B2 US8024387 B2 US 8024387B2 US 89439307 A US89439307 A US 89439307A US 8024387 B2 US8024387 B2 US 8024387B2
Authority
US
United States
Prior art keywords
finite state
state machine
layout
linear finite
linear
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US11/894,393
Other versions
US20070294327A1 (en
Inventor
Janusz Rajski
Jerzy Tyszer
Mark Kassab
Nilanjan Mukherjee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens Industry Software Inc
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US11/894,393 priority Critical patent/US8024387B2/en
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Publication of US20070294327A1 publication Critical patent/US20070294327A1/en
Assigned to MENTOR GRAPHICS CORPORATION reassignment MENTOR GRAPHICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KASSAB, MARK, MUKHERJEE, NILANJAN, RAJSKI, JANUSZ, TYSZER, JERZY
Priority to US13/013,712 priority patent/US8533547B2/en
Application granted granted Critical
Publication of US8024387B2 publication Critical patent/US8024387B2/en
Priority to US14/021,800 priority patent/US9134370B2/en
Priority to US14/853,412 priority patent/US9664739B2/en
Priority to US15/608,716 priority patent/US10234506B2/en
Assigned to SIEMENS INDUSTRY SOFTWARE INC. reassignment SIEMENS INDUSTRY SOFTWARE INC. MERGER AND CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: MENTOR GRAPHICS CORPORATION, SIEMENS INDUSTRY SOFTWARE INC.
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/58Random or pseudo-random number generators
    • G06F7/582Pseudo-random number generators
    • G06F7/584Pseudo-random number generators using finite field arithmetic, e.g. using a linear feedback shift register
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/84Generating pulses having a predetermined statistical distribution of a parameter, e.g. random pulse generators
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F2207/58Indexing scheme relating to groups G06F7/58 - G06F7/588
    • G06F2207/583Serial finite field implementation, i.e. serial implementation of finite field arithmetic, generating one new bit or trit per step, e.g. using an LFSR or several independent LFSRs; also includes PRNGs with parallel operation between LFSR and outputs

Definitions

  • Linear finite state machines such as linear feedback shift registers (LFSRs) and cellular automata (CA) are often used for generating pseudo-random sequences.
  • LFSMs linear feedback shift registers
  • CA cellular automata
  • An LFSR includes memory elements such as flip-flops and linear logic gates such as XOR or XNOR gates connected as shown in FIG. 1 .
  • the combined (added modulo 2) output of each stage is fed back to the first stage of the LFSR.
  • Such an implementation is called a type I LFSR or Fibonacci generator.
  • a nonzero n-bit vector (frequently called a seed) is loaded into the register, and a clock is pulsed at the appropriate rate.
  • An LFSR initialized as described above can cycle through a number of states before coming back to the initial state. If an n-bit LFSR can cycle through all possible 2 n ⁇ 1 nonzero states, then its characteristic polynomial is called a primitive characteristic polynomial.
  • Such an LFSR is often referred to as a maximum-length LFSR, and the resultant output sequence is termed a maximum-length sequence or m-sequence.
  • M-sequences have a number of unique properties, as described in P. H. Bardell, W. H. McAnney, and J. Savir, Built - In Test for VLSI: Pseudorandom Techniques , John Wiley & Sons, 1987.
  • FIG. 2 An alternative LFSR implementation is shown in FIG. 2 . It is called a type II LFSR or Galois true divisor. A distinct feature of this implementation is that the output of the last stage of the LFSR is fed back to prior stages as indicated by the characteristic polynomial employed. As with a type I LFSR, a type II LFSR constructed in accordance with a primitive characteristic polynomial and loaded with a nonzero n-bit vector will produce all 2 N ⁇ 1 nonzero states.
  • LFSMs such as the LFSRs described above are employed in a vast variety of applications, including error detection and correction, data transmission, mobile telephony, cryptography, testing of very large scale integrated circuits, data compression, and hardware white noise generation. For high-performance applications, the required data generation and compression can only be achieved by high-performance circuits.
  • U.S. Pat. No. 5,268,949 describes a pseudo-random test pattern generator having a higher operating speed than the conventional LFSRs.
  • the speed of any LFSR is determined by the performance of the respective elements comprising the generator.
  • an XOR feedback network may introduce significant delays if an LFSR features a large number of feedback taps.
  • the patent proposes the use of a number of LFSRs connected in parallel fashion and operated at lower clock speed, wherein the actual output signals are produced on the multiplex basis.
  • this architecture has much larger area overhead than typical LFSRs and its performance is still limited by multiplexers in the output function.
  • U.S. Pat. No. 5,412,665 describes another parallel-operation high-speed test pattern generation and test response compaction implemented by means of low-speed components. It utilizes a number of flip-flops and connected combinational logic networks. Each network provides a pseudo-random pattern which is output in parallel, thereby creating a high-speed data flow with an increased area of the circuitry.
  • U.S. Pat. No. 5,466,683 describes a programmable LFSR that produces pseudo-random patterns having a variable characteristic polynomial. Its sequence generator is driven by applying appropriate control signals in such a way that a given control signal has a value of 1 if the corresponding term of the characteristic polynomial has a coefficient of 1. Consequently, the proposed scheme places an XOR gate and associated two-way multiplexer in the front of each LFSR stage, thus incurring significant area overhead.
  • a similar architecture of a programmable LFSR with a provision for an initialization circuitry is given in U.S. Pat. No. 5,090,035.
  • U.S. Pat. No. 5,790,626 describes a bi-directional LFSR employing latches having dual (forward and reverse) inputs.
  • This LFSR can generate both state trajectories: the forward sequence of patterns, and the reverse one corresponding to an original feedback polynomial and its reciprocal counterpart, respectively.
  • the register features two different linear feedback networks that operate exclusively at a time, but can intermix forward and reverse steps, thus allowing the vector generation process to follow the native sequence of the LFSR in both directions at any time.
  • a similar concept is also disclosed in the U.S. Pat. No. 5,719,913, where the XOR gates in the feedback network are driven by multiplicity of two-way multiplexers.
  • a method for synthesizing a linear feedback shift register includes the following steps.
  • An original linear finite state machine circuit is obtained, the circuit including a plurality of memory elements and linear logic gates and capable of generating an output sequence.
  • Feedback connections in the original circuit are determined, a feedback connection spanning a number of memory elements and including a source tap and destination tap connected by an associated feedback connection line.
  • the source and destination taps of one or more of the feedback connection are then shifted across a number of memory elements in the same direction.
  • a method for synthesizing a linear finite state machine includes the following steps.
  • An original linear finite state machine circuit is obtained, the circuit including a plurality of memory elements and linear logic gates and capable of generating an output sequence.
  • At least first and second feedback connections in the original circuit are determined, each feedback connection spanning a number of memory elements and including a source tap and destination tap connected by an associated feedback connection line, the destination tap including a destination linear logic gate.
  • the source and destination taps of the feedback connections are then shifted relative to one another such that the destination tap of the first feedback and the source tap of the second feedback connection cross.
  • Another feedback connection line is then added between a source tap of the first feedback connection and a destination linear logic gate at a destination tap of the second feedback connection.
  • a linear finite state machine circuit comprises a plurality of memory elements and linear logic gates, wherein fan-out within the circuit is no greater than two and the number of level of linear logic within the circuit is no greater than one.
  • FIG. 1 is a diagram of a type I LFSR.
  • FIG. 2 is a diagram of a type II LFSR.
  • FIG. 3 is a flowchart of a first synthesis method in accordance with the invention.
  • FIGS. 4A and B illustrate an EL transformation of an LFSR in accordance with the method.
  • FIG. 5 is a flowchart of a method for initializing LFSRs in accordance with the invention.
  • FIGS. 6A and B illustrate application of an elementary shift to the left (EL) transformation that causes two linear logic gates in an LFSR to cross.
  • EL elementary shift to the left
  • FIGS. 7A and B illustrate application of an E elementary shift to the right (E) transformation that causes two source taps in an LFSR to cross.
  • FIG. 8 is a flowchart of a second synthesis method in accordance with the invention.
  • FIGS. 9A-C illustrate application of a source tap crossing a destination tap while moving to the left (SDL) transformation in accordance with the second method.
  • FIGS. 10A-C illustrate application of a source tap crossing a destination tap while moving to the right (SDR) transformation in accordance with the second method.
  • FIGS. 11A-C illustrate application of a destination tap crossing a source tap while moving to the left (DSL) transformation in accordance with the second method.
  • FIGS. 12A-C illustrate application of a destination lap crossing a source tap while moving to the right (DSR) transformation in accordance with the second method.
  • FIGS. 13A-D are examples of synthesizing an LFSR from three types of LFSMs: a type I LFSR, a type II LFSR, and a linear cellular automaton.
  • FIGS. 14A-D are an example of synthesizing an LFSR by applying a combination of different transformations to an original LFSR circuit.
  • methods for synthesizing LFSMs in accordance with the invention are implemented in software stored on a computer-readable medium and executed on a general-purpose computer system.
  • a computer system is represented by block 18 in FIG. 3 .
  • the invention for example, can be implemented in computer aided-design tools that explore the domain of possible solutions and different trade-offs concerning the layout of LFSRs. For clarity, only those aspects of the software germane to the invention are described; product details well known in the art are omitted. For the same reason, the computer hardware is not described in further detail. It should appreciated that the invention is not limited to use with computer system 18 or any particular computer language or program.
  • FIG. 2 shows an LFSM in the form of an arbitrary maximum-length type II LFSR with n memory elements such as flip-flops or latches and a number of feedback connections.
  • Each feedback connection includes a source tap corresponding to an output of a memory element feeding this particular connection, a feedback connection line spanning a number of memory elements as defined by the primitive characteristic polynomial employed, and a linear gate such as an XOR gate placed at a destination tap of the feedback connection, that is, at the input to another memory element.
  • the LFSR architecture can be transformed by shifting its feedback connections across memory elements for the purpose of performance optimization and to minimize the total length of the feedback lines.
  • transformations may be carried out in such a way that they preserve the m-sequence of the original LFSR circuit, although the modified LFSR circuit may feature a different state trajectory than that of the original circuit. That is, the LFSR state trajectories (the contents of the memory elements at any given time) may differ between the original and modified circuits although the m-sequence, taken from an output of each circuit, is preserved. If the same LFSR seed is used in both circuits, then the m-sequence is the same when taken from different memory elements. If different LFSR seeds are available, then the m-sequence may be the same when taken from the same memory element.
  • FIG. 3 is a flowchart of a first synthesis method
  • FIGS. 4A and B illustrate an application of the method to an LFSR transformation called an elementary shift to the left, or EL.
  • FIG. 4A shows the original LFSR circuit with a feedback connection 20 spanning a number of memory elements and including a source tap 22 at the output of memory element Z and a destination tap (including a destination XOR gate 24 ) at the input to memory element C. The taps are connected by an associated feedback connection line.
  • FIG. 4B shows the modified LFSR circuit resulting from the transformation.
  • all memory elements but the rightmost one (Z) are assumed to contain initially symbols a, b, c, . . . , p.
  • the memory element Z should initialized to 0 (or initialized to 1 if an XNOR gate is used in place of the XOR gate).
  • the memory elements After one shift ( FIG. 4A ), the memory elements contain symbols d, a, b, . . . , q, p, as a new symbol d enters the memory element A.
  • the contents of the memory elements are as follows: e, d, a ⁇ p, . . . , r, q. Further operation of the LFSR produces additional shifts of data as shown. Now, in FIG.
  • a transformation EL is applied to the original LFSR circuit, and it places the XOR gate 24 at the input of the memory element B and relocates the source tap 22 of the feedback connection 20 to the output of memory element Y, accordingly.
  • i can be observed that the contents of the memory elements spanned by the original feedback line, that is, flip-flops C, . . . , Y, Z, match the symbols appearing at the outputs of flip-flops C, . . . , Y, Z in the original circuit.
  • a copy of the original LFSR circuit is obtained by synthesis software or an equivalent tool ( 26 ), typically from secondary storage or from memory if entered directly by a user.
  • the feedback connections in the original circuit are then determined ( 28 ), such as the feedback connection spanning memory elements C through Z in the LFSR circuit of FIG. 4A .
  • One or more of the feedback connection may then shifted across a number of memory elements in the original circuit in the same direction ( 30 ). These shifts are carried out to reduce the length of feedback lines, to reduce the levels of linear logic, and to reduce the internal fan-out of the original circuit.
  • FIG. 5 is a flowchart that illustrates how, by selecting an appropriate seed, the m-sequence can be preserved in the modified LFSR circuit despite the shifting of feedback connections across memory elements.
  • the direction of shift is determined ( 32 )—left (defined as upstream, against the direction of data flow through the memory elements) or right (defined as downstream, with the direction of data flow through the memory elements).
  • the initial LFSR vector, or seed is provided with the same logic values for memory elements being shifted out of the feedback, connection as a result of the shift.
  • the initial LFSR vector, or seed is provided with the same logic values for memory elements being shifted into the feedback connection as a result of the shift.
  • the same logic values are zero if the linear gates of the original circuit are XOR gates and the same logic values are one if the linear gates of the original circuit are XNOR gates.
  • Transformations EL and ER can be extended to handle cases in which a destination gate (or a source tap) of a feedback connection being moved crosses another destination gate (or source tap), respectively. Examples of these situations are illustrated in FIGS. 6A and B and 7 A and B.
  • the internal (shorter) feedback connections 40 and 42 in FIGS. 6A and 7A can be shifted to the left or to the right in FIGS. 6B and 7B , respectively, and no further transformations are required.
  • the shifted feedback connection provides symbols to memory elements whose contents remain unaffected by transformations EL or ER.
  • This form of the transformations thus preserves the maximum-length property of the circuit, provided that all memory elements are initialized with an appropriate seed as described above.
  • flip-flop Q in FIGS. 6A and B and flip-flop Y in FIGS. 7A and B should be initialized to 0 when performing transformations EL and ER, respectively.
  • FIG. 8 is a flowchart that illustrates a second synthesis method wherein a feedback connection shift causes the destination gate in one feedback connection and the source tap in another feedback connection to cross.
  • the method can be used if the original LFSR circuit has at least two feedback connections ( 50 ).
  • the circuit topology is checked after a shift to determine if a destination gate and a source tap have crossed ( 52 ). If not, the first method continues to its conclusion ( 54 ). However, if a destination gate and source tap cross, an appropriate feedback connection is added to the LFSR circuit ( 56 ) as described below.
  • SDL a source tap crosses a destination gate while moving to the left
  • SDR a source tap crosses a destination gate while moving to the right
  • DSL a destination gate crosses a source tap while moving to the left
  • DSR a destination gate crosses a source tap while moving to the right
  • Transformation SDL is illustrated in FIGS. 9A-C . It can be used when two feedback connections 58 and 59 are arranged in such a way that a linear gate 60 (such as the XOR gate shown) at the destination tap of the first feedback connection is separated from a source tap 62 of the second feedback connection by a single memory element, as shown in FIG. 9A . During the first part of the transformation, the source tap 62 shifts across this memory element ( FIG. 9B ). The XOR gate 64 at the destination tap of the second feedback connection also shifts to the left accordingly. This operation preserves the maximum-length property of the LFSR since this act is equivalent to transformation EL described earlier.
  • a linear gate 60 such as the XOR gate shown
  • symbol a must be provided by the source tap 66 of the first feedback connection 58 to the XOR gate 64 . This is accomplished by adding a feedback connection line 68 between the source tap 66 and the XOR gate 64 at the shifted destination tap. It is worth noting that symbol a can represent several feedback paths reaching their destination at this particular gate. In such a case, all of these feedback connections should be extended as required by transformation SDL. The same rule applies to transformations SDR, DSL, and DSR.
  • Transformation SDR is shown in FIGS. 10A-C .
  • both feedback connections 78 and 79 involved in this operation do not span any common memory elements ( FIG. 10A ).
  • the second feedback connection 79 to be shifted to the right, has its source tap 82 at the output of the flip-flop feeding the XOR gate 80 at the destination tap of the first feedback connection 78 . Therefore, the output of the gate 80 is equal to a ⁇ b.
  • the source tap 82 crosses the XOR gate 80 , thus changing functionality of the circuit ( FIG. 10B ).
  • a feedback connection line 88 is added between the XOR gate 84 and the source tap 86 of the first feedback connection 78 .
  • an ER transformation may be carried out on the second feedback connection 79 with no effect on the function of the LFSR, the transformation adding an additional XOR gate 89 ( FIG. 10C ).
  • Transformation DSL is shown in FIGS. 11A-C .
  • the initial setup ( FIG. 11A ) as well as the first acts are similar to those of transformation SDR. Consequently, a new feedback connection line 90 is added to restore an original functionality of the circuit ( FIG. 11B ).
  • a transformation EL is performed on the first feedback connection 92 , leading to a structure with XOR gate 94 of the first feedback connection shifted by one memory element to the left.
  • Transformation DSR is shown in FIGS. 12A-C .
  • transformation ER is first applied to the first feedbck connection 100 ( FIG. 12B ).
  • the XOR gate 102 of the first feedback connection is shifted such that it crosses the source tap 104 of the second feedback connection 106 , or equivalently, the source tap 104 is moved from the output of the XOR gate 102 to the gate's input ( FIG. 12 c ).
  • This last act removes symbol b from the sum a ⁇ b being provided to the XOR gate 108 of the second feedback connection. Its loss must be compensated for by adding a feedback connection line 110 between the source tap 112 of the first feedback connection 100 and the XOR gate 108 to maintain both arguments, a and b, on the gate's inputs ( FIG. 9C ).
  • the transformations described can be utilized one or more times in synthesizing a LFSM. They can also be combined with other transformations in a synthesis. Examples of these possible applications are described below.
  • the architecture of the modified linear finite state machine that can be obtained from these transformations is characterized by an internal fan-out no greater than two, no more than one level of linear logic gates, and short feedback connection lines.
  • FIGS. 13A-D are examples of synthesizing an LFSR from various types of LFSMs, including a type I LFSR, a type II LFSR, and a linear cellular automaton, by successive applications of EL transformations.
  • the structure of the LFSR shown in FIG. 13A is a true Galois divisor or type II shift register implementing primitive characteristic polynomial x 32 +x 30 +x 21 +x 16 +x 11 +x 4 +1, with five feedback connections that includes lines 120 - 128 each connecting a shared source tap 129 to separate destination taps that include XOR gates 130 - 138 , respectively.
  • the XOR gates are each disposed in a respective forward transmission path along the chain of memory elements.
  • each XOR gate has one input coupled to the output of a preceding stage, its output coupled to the input of the succeeding stage, and a second input connected to the feedback path line originating at the output of memory element 0 .
  • the overall layout of the LFSR circuit has been optimized prior to any further transformations by forming a ring structure. Nevertheless, two of the most significant benefits of the present synthesis methods appear in FIG. 13D , which illustrates a transformation of the original type II LFSR circuit of FIG. 13A to a modified LFSR circuit. As can be seen, the modified LFSR of FIG.
  • 13D has been obtained by applying the transformation EL to the five feedback connections (represented by coefficients x 30 , x 21 , x 16 , x 11 , and x 4 ) one, five, eight, ten, and fourteen times, respectively.
  • the internal fan-out of the LFSR has also been reduced by a factor of three, from six elements (memory element 31 and the five XOR gates 130 - 138 ) fed by flip-flop 0 in the original LFSR circuit to only two elements (the next memory element and one XOR gate) fed by any flip-flop in the modified LFSR circuit. Furthermore, the modified LFSR circuit of FIG. 13D has, in its worst case, only one level of XOR logic between any pair of flip-flops.
  • An LFSR can also be synthesized from other types of LFSMs.
  • the modified LFSR shown of FIG. 13D can be obtained from the type I LFSR of FIG. 13A (implementing the same primitive characteristic polynomial x 32 +x 30 +x 21 +x 16 +x 11 +x 4 +1) by applying the transformations described above.
  • the modified LFSR of FIG. 13D can be obtained from the 32-bit linear cellular automaton of FIG. 13C (implementing also the same primitive characteristic polynomial x 32 +x 30 +x 21 +x 16 +x 11 +x 4 +1) by applying these transformations with null boundary conditions shown in the figure.
  • FIGS. 14A-D are an example of synthesizing an LFSR by applications of a combination of the above transformations, in this case EL transformations and an SDL transformation.
  • FIG. 14A depicts a type II LFSR implementing primitive characteristic polynomial x 8 +x 6 +x 5 +x+1.
  • Applying the transformation EL four times to the feedback connection represented by coefficient x leads to the circuit shown in FIG. 14B .
  • Applying transformation SDL then shifts feedback connection 130 further to the left by one memory element and adds a feedback connection line 136 at the input to the XOR gate 134 ( FIG. 14C ).

Abstract

Method and apparatus for synthesizing high-performance linear finite state machines (LFSMs) such as linear feedback shift registers (LFSRs) or cellular automata (CA). Given a characteristic polynomial for the circuit, the method obtains an original LFSM circuit such as a type I or type II LFSR. Feedback connections within the original circuit are then determined. Subsequently, a number of transformations that shift the feedback connections can be applied in such a way that properties of the original circuit are preserved in a modified LFSM circuit. In particular, if the original circuit is represented by a primitive characteristic polynomial, the method preserves the maximum-length property of the original circuit in the modified circuit and enables the modified circuit to produce the same m-sequence as the original circuit. Through the various transformations, a modified LFSM circuit can be created that provides higher performance through shorter feedback connection lines, fewer levels of logic, and lower internal fan-out.

Description

RELATED APPLICATION DATA
This application is a continuation of U.S. application Ser. No. 10/781,031, filed Feb. 17, 2004, now U.S. Pat. No. 7,260,591, which is a continuation U.S. application Ser. No. 10/346,699, filed Jan. 16, 2003, now U.S. Pat. No. 6,708,192, which is a continuation of U.S. application Ser. No. 09/957,701, filed Sep. 18, 2001, now U.S. Pat. No. 6,539,409, which is a continuation of U.S. application Ser. No. 09/620,023, filed Jul. 20, 2000, now U.S. Pat. No. 6,353,842, which claims the benefit of U.S. Provisional Application No. 60/167,445, filed Nov. 23, 1999.
BACKGROUND OF INVENTION
Linear finite state machines (LFSMs) such as linear feedback shift registers (LFSRs) and cellular automata (CA) are often used for generating pseudo-random sequences. Such devices are well known in the art and are amply described in a number of references such as V. N. Yarmolik and S. N. Demidenko, Generation and Application of Pseudorandom Sequences for Random Testing, J. Wiley and Sons, New York, 1988. An LFSR includes memory elements such as flip-flops and linear logic gates such as XOR or XNOR gates connected as shown in FIG. 1. An LFSR of length n can be represented mathematically by its characteristic polynomial hxxn+hn−1xn−+ . . . +h0, where the term hixi refers to the ith flip-flop of the register, such that, if hi=1, then there is a feedback tap taken from this flip-flop. Also, h0=1. When the proper tap connections are established in accordance with the given polynomial, the combined (added modulo 2) output of each stage is fed back to the first stage of the LFSR. Such an implementation is called a type I LFSR or Fibonacci generator. To initiate the operation of the LFSR, a nonzero n-bit vector (frequently called a seed) is loaded into the register, and a clock is pulsed at the appropriate rate. An LFSR initialized as described above can cycle through a number of states before coming back to the initial state. If an n-bit LFSR can cycle through all possible 2n−1 nonzero states, then its characteristic polynomial is called a primitive characteristic polynomial. Such an LFSR is often referred to as a maximum-length LFSR, and the resultant output sequence is termed a maximum-length sequence or m-sequence. M-sequences have a number of unique properties, as described in P. H. Bardell, W. H. McAnney, and J. Savir, Built-In Test for VLSI: Pseudorandom Techniques, John Wiley & Sons, 1987.
An alternative LFSR implementation is shown in FIG. 2. It is called a type II LFSR or Galois true divisor. A distinct feature of this implementation is that the output of the last stage of the LFSR is fed back to prior stages as indicated by the characteristic polynomial employed. As with a type I LFSR, a type II LFSR constructed in accordance with a primitive characteristic polynomial and loaded with a nonzero n-bit vector will produce all 2N−1 nonzero states.
When the output is taken from the last stage of a type I or type II LFSR constructed in accordance with the same primitive characteristic polynomial, then the resulting m-sequences are different. The same m-sequences can be obtained, however, if a given primitive characteristic polynomial and its reciprocal are use to implement the type I and type II LFSRs, respectively, and both registers are properly initialized. LFSMs such as the LFSRs described above are employed in a vast variety of applications, including error detection and correction, data transmission, mobile telephony, cryptography, testing of very large scale integrated circuits, data compression, and hardware white noise generation. For high-performance applications, the required data generation and compression can only be achieved by high-performance circuits. The highest operating speeds of conventional LFSR-based generators, encoders, decoders or compactors are limited by the performance of their respective elements. For a type I LFSR, performance is limited by the depth (i.e., number of levels) of the combinational logic in its feedback path. For a type II LFSR, performance is limited by buffers in the feedback path needed to compensate for internal fan-out on the output of the last stage. In addition, the buffers slow the circuit's operation. In both types of LFSRs, the limitations are especially pronounced for LFSRs having a characteristic polynomial with a large number of terms.
Attempts have been made to improve the performance of conventional LFSRs. Several are described in P. H. Bardell, “Design Considerations for Parallel Pseudorandom Pattern Generators,” Journal of Electronic Testing: Theory and Applications, No. 1, pp. 73-87, 1990. Others are described in various U.S. patents. For example, a linear pseudo-random test pattern generator that is aimed at generating all 2n vectors using an LFSR enhanced by means of external circuitry is disclosed in the U.S. Pat. No. 4,974,184. The scheme employs a switching circuit added to the feedback network of the register to produce and insert into a suitable position the so-called “stuck-state” which cannot be obtained by means of the conventional linear finite state machines. Typically, the stuck-state consists of an all-0s pattern that can be conveniently employed in several testing approaches (e.g., a memory test).
U.S. Pat. No. 5,268,949 describes a pseudo-random test pattern generator having a higher operating speed than the conventional LFSRs. The speed of any LFSR is determined by the performance of the respective elements comprising the generator. In particular, an XOR feedback network may introduce significant delays if an LFSR features a large number of feedback taps. The patent proposes the use of a number of LFSRs connected in parallel fashion and operated at lower clock speed, wherein the actual output signals are produced on the multiplex basis. However, this architecture has much larger area overhead than typical LFSRs and its performance is still limited by multiplexers in the output function.
U.S. Pat. No. 5,412,665 describes another parallel-operation high-speed test pattern generation and test response compaction implemented by means of low-speed components. It utilizes a number of flip-flops and connected combinational logic networks. Each network provides a pseudo-random pattern which is output in parallel, thereby creating a high-speed data flow with an increased area of the circuitry.
U.S. Pat. No. 5,466,683 describes a programmable LFSR that produces pseudo-random patterns having a variable characteristic polynomial. Its sequence generator is driven by applying appropriate control signals in such a way that a given control signal has a value of 1 if the corresponding term of the characteristic polynomial has a coefficient of 1. Consequently, the proposed scheme places an XOR gate and associated two-way multiplexer in the front of each LFSR stage, thus incurring significant area overhead. A similar architecture of a programmable LFSR with a provision for an initialization circuitry is given in U.S. Pat. No. 5,090,035.
U.S. Pat. No. 5,790,626 describes a bi-directional LFSR employing latches having dual (forward and reverse) inputs. This LFSR can generate both state trajectories: the forward sequence of patterns, and the reverse one corresponding to an original feedback polynomial and its reciprocal counterpart, respectively. The register features two different linear feedback networks that operate exclusively at a time, but can intermix forward and reverse steps, thus allowing the vector generation process to follow the native sequence of the LFSR in both directions at any time. A similar concept is also disclosed in the U.S. Pat. No. 5,719,913, where the XOR gates in the feedback network are driven by multiplicity of two-way multiplexers.
None of these prior attempts, however, provides an optimal solution to the performance limitations noted above and found in presents LFSMs.
SUMMARY
In one aspect of the invention, a method for synthesizing a linear feedback shift register (LFSR) includes the following steps. An original linear finite state machine circuit is obtained, the circuit including a plurality of memory elements and linear logic gates and capable of generating an output sequence. Feedback connections in the original circuit are determined, a feedback connection spanning a number of memory elements and including a source tap and destination tap connected by an associated feedback connection line. The source and destination taps of one or more of the feedback connection are then shifted across a number of memory elements in the same direction. These shifts transform the original circuit to a modified linear finite state machine circuit that is capable of providing the same output sequence as the original circuit, but with fewer levels of logic and a lower internal fan-out.
In another aspect of the invention, a method for synthesizing a linear finite state machine includes the following steps. An original linear finite state machine circuit is obtained, the circuit including a plurality of memory elements and linear logic gates and capable of generating an output sequence. At least first and second feedback connections in the original circuit are determined, each feedback connection spanning a number of memory elements and including a source tap and destination tap connected by an associated feedback connection line, the destination tap including a destination linear logic gate. The source and destination taps of the feedback connections are then shifted relative to one another such that the destination tap of the first feedback and the source tap of the second feedback connection cross. Another feedback connection line is then added between a source tap of the first feedback connection and a destination linear logic gate at a destination tap of the second feedback connection. These shifts and additional feedback line transform the original circuit to a modified linear finite state machine circuit that is capable of providing the same output sequence as the original circuit.
In another aspect of the invention, a linear finite state machine circuit comprises a plurality of memory elements and linear logic gates, wherein fan-out within the circuit is no greater than two and the number of level of linear logic within the circuit is no greater than one.
These and other aspects of the invention are described in further detail below, which description refers to the following drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a diagram of a type I LFSR.
FIG. 2 is a diagram of a type II LFSR.
FIG. 3 is a flowchart of a first synthesis method in accordance with the invention.
FIGS. 4A and B illustrate an EL transformation of an LFSR in accordance with the method.
FIG. 5 is a flowchart of a method for initializing LFSRs in accordance with the invention.
FIGS. 6A and B illustrate application of an elementary shift to the left (EL) transformation that causes two linear logic gates in an LFSR to cross.
FIGS. 7A and B illustrate application of an E elementary shift to the right (E) transformation that causes two source taps in an LFSR to cross.
FIG. 8 is a flowchart of a second synthesis method in accordance with the invention.
FIGS. 9A-C illustrate application of a source tap crossing a destination tap while moving to the left (SDL) transformation in accordance with the second method.
FIGS. 10A-C illustrate application of a source tap crossing a destination tap while moving to the right (SDR) transformation in accordance with the second method.
FIGS. 11A-C illustrate application of a destination tap crossing a source tap while moving to the left (DSL) transformation in accordance with the second method.
FIGS. 12A-C illustrate application of a destination lap crossing a source tap while moving to the right (DSR) transformation in accordance with the second method.
FIGS. 13A-D are examples of synthesizing an LFSR from three types of LFSMs: a type I LFSR, a type II LFSR, and a linear cellular automaton.
FIGS. 14A-D are an example of synthesizing an LFSR by applying a combination of different transformations to an original LFSR circuit.
DETAILED DESCRIPTION
In the exemplary embodiments shown and described herein, methods for synthesizing LFSMs in accordance with the invention are implemented in software stored on a computer-readable medium and executed on a general-purpose computer system. Such a computer system is represented by block 18 in FIG. 3. The invention, for example, can be implemented in computer aided-design tools that explore the domain of possible solutions and different trade-offs concerning the layout of LFSRs. For clarity, only those aspects of the software germane to the invention are described; product details well known in the art are omitted. For the same reason, the computer hardware is not described in further detail. It should appreciated that the invention is not limited to use with computer system 18 or any particular computer language or program.
FIG. 2 shows an LFSM in the form of an arbitrary maximum-length type II LFSR with n memory elements such as flip-flops or latches and a number of feedback connections. Each feedback connection includes a source tap corresponding to an output of a memory element feeding this particular connection, a feedback connection line spanning a number of memory elements as defined by the primitive characteristic polynomial employed, and a linear gate such as an XOR gate placed at a destination tap of the feedback connection, that is, at the input to another memory element. In accordance with the synthesis method to be described, the LFSR architecture can be transformed by shifting its feedback connections across memory elements for the purpose of performance optimization and to minimize the total length of the feedback lines. These transformations may be carried out in such a way that they preserve the m-sequence of the original LFSR circuit, although the modified LFSR circuit may feature a different state trajectory than that of the original circuit. That is, the LFSR state trajectories (the contents of the memory elements at any given time) may differ between the original and modified circuits although the m-sequence, taken from an output of each circuit, is preserved. If the same LFSR seed is used in both circuits, then the m-sequence is the same when taken from different memory elements. If different LFSR seeds are available, then the m-sequence may be the same when taken from the same memory element.
FIG. 3 is a flowchart of a first synthesis method, and FIGS. 4A and B illustrate an application of the method to an LFSR transformation called an elementary shift to the left, or EL. FIG. 4A shows the original LFSR circuit with a feedback connection 20 spanning a number of memory elements and including a source tap 22 at the output of memory element Z and a destination tap (including a destination XOR gate 24) at the input to memory element C. The taps are connected by an associated feedback connection line. FIG. 4B shows the modified LFSR circuit resulting from the transformation. In FIG. 4A, all memory elements but the rightmost one (Z) are assumed to contain initially symbols a, b, c, . . . , p. The memory element Z should initialized to 0 (or initialized to 1 if an XNOR gate is used in place of the XOR gate). After one shift (FIG. 4A), the memory elements contain symbols d, a, b, . . . , q, p, as a new symbol d enters the memory element A. After the next shift, the contents of the memory elements are as follows: e, d, a⊕p, . . . , r, q. Further operation of the LFSR produces additional shifts of data as shown. Now, in FIG. 4B, a transformation EL is applied to the original LFSR circuit, and it places the XOR gate 24 at the input of the memory element B and relocates the source tap 22 of the feedback connection 20 to the output of memory element Y, accordingly. Assuming the same initial state as before (in particular the value of 0 is loaded into memory element Z) i can be observed that the contents of the memory elements spanned by the original feedback line, that is, flip-flops C, . . . , Y, Z, match the symbols appearing at the outputs of flip-flops C, . . . , Y, Z in the original circuit. Consequently, m-sequences produced on the outputs of these memory elements are preserved and the transformed LFSR remains a maximum-length circuit. It can be noticed, however, that its state trajectory may differ from the original one as memory element B receives different symbols in both cases. In a similar manner, a transformation ER (elementary shift to the right) can be applied to the LFSR. Assuming that flip-flop Z is initially reset, all m-sequences produced on bits spanned by the feedback connection after the transformation will be preserved.
The actions carried out by the above LFSR transformations are described more generally in FIG. 3 with reference to the computer system 18. A copy of the original LFSR circuit is obtained by synthesis software or an equivalent tool (26), typically from secondary storage or from memory if entered directly by a user. The feedback connections in the original circuit are then determined (28), such as the feedback connection spanning memory elements C through Z in the LFSR circuit of FIG. 4A. One or more of the feedback connection may then shifted across a number of memory elements in the original circuit in the same direction (30). These shifts are carried out to reduce the length of feedback lines, to reduce the levels of linear logic, and to reduce the internal fan-out of the original circuit.
FIG. 5 is a flowchart that illustrates how, by selecting an appropriate seed, the m-sequence can be preserved in the modified LFSR circuit despite the shifting of feedback connections across memory elements. The direction of shift is determined (32)—left (defined as upstream, against the direction of data flow through the memory elements) or right (defined as downstream, with the direction of data flow through the memory elements). Where a shift is to the left in the LFSR (34), then the initial LFSR vector, or seed, is provided with the same logic values for memory elements being shifted out of the feedback, connection as a result of the shift. Where a shift is to the right in the LFSR (36), then the initial LFSR vector, or seed, is provided with the same logic values for memory elements being shifted into the feedback connection as a result of the shift. In either case, the same logic values are zero if the linear gates of the original circuit are XOR gates and the same logic values are one if the linear gates of the original circuit are XNOR gates.
Transformations EL and ER can be extended to handle cases in which a destination gate (or a source tap) of a feedback connection being moved crosses another destination gate (or source tap), respectively. Examples of these situations are illustrated in FIGS. 6A and B and 7A and B. As can be seen, the internal (shorter) feedback connections 40 and 42 in FIGS. 6A and 7A, respectively, can be shifted to the left or to the right in FIGS. 6B and 7B, respectively, and no further transformations are required. Indeed, the shifted feedback connection provides symbols to memory elements whose contents remain unaffected by transformations EL or ER. This form of the transformations thus preserves the maximum-length property of the circuit, provided that all memory elements are initialized with an appropriate seed as described above. In particular, flip-flop Q in FIGS. 6A and B and flip-flop Y in FIGS. 7A and B should be initialized to 0 when performing transformations EL and ER, respectively.
FIG. 8 is a flowchart that illustrates a second synthesis method wherein a feedback connection shift causes the destination gate in one feedback connection and the source tap in another feedback connection to cross. The method can be used if the original LFSR circuit has at least two feedback connections (50). The circuit topology is checked after a shift to determine if a destination gate and a source tap have crossed (52). If not, the first method continues to its conclusion (54). However, if a destination gate and source tap cross, an appropriate feedback connection is added to the LFSR circuit (56) as described below. Because a feedback connection can be moved either to the right or to the left, there are four corresponding transformations that can result from such a crossing: SDL (a source tap crosses a destination gate while moving to the left); SDR (a source tap crosses a destination gate while moving to the right); DSL (a destination gate crosses a source tap while moving to the left); and DSR (a destination gate crosses a source tap while moving to the right).
Transformation SDL is illustrated in FIGS. 9A-C. It can be used when two feedback connections 58 and 59 are arranged in such a way that a linear gate 60 (such as the XOR gate shown) at the destination tap of the first feedback connection is separated from a source tap 62 of the second feedback connection by a single memory element, as shown in FIG. 9A. During the first part of the transformation, the source tap 62 shifts across this memory element (FIG. 9B). The XOR gate 64 at the destination tap of the second feedback connection also shifts to the left accordingly. This operation preserves the maximum-length property of the LFSR since this act is equivalent to transformation EL described earlier. Subsequently, however, the source tap 62 moves further and crosses the XOR gate 60 of the first feedback connection 58 (FIG. 9C). Symbols carried by the second feedback connection 59 are now no longer equivalent to a⊕b; instead, they are now equal to just b. To maintain the same functionality on the output of the destination XOR gate 64, symbol a must be provided by the source tap 66 of the first feedback connection 58 to the XOR gate 64. This is accomplished by adding a feedback connection line 68 between the source tap 66 and the XOR gate 64 at the shifted destination tap. It is worth noting that symbol a can represent several feedback paths reaching their destination at this particular gate. In such a case, all of these feedback connections should be extended as required by transformation SDL. The same rule applies to transformations SDR, DSL, and DSR.
Transformation SDR is shown in FIGS. 10A-C. Initially, both feedback connections 78 and 79 involved in this operation do not span any common memory elements (FIG. 10A). In fact, the second feedback connection 79, to be shifted to the right, has its source tap 82 at the output of the flip-flop feeding the XOR gate 80 at the destination tap of the first feedback connection 78. Therefore, the output of the gate 80 is equal to a⊕b. During the first action, the source tap 82 crosses the XOR gate 80, thus changing functionality of the circuit (FIG. 10B). To restore the former value on the output of the XOR gate 84 at the destination tap of the second feedback connection 79, a feedback connection line 88 is added between the XOR gate 84 and the source tap 86 of the first feedback connection 78. The added feedback line 88 compensates for the presence of symbol a by taking advantage of the equation a⊕b⊕a=b. Finally, an ER transformation may be carried out on the second feedback connection 79 with no effect on the function of the LFSR, the transformation adding an additional XOR gate 89 (FIG. 10C).
Transformation DSL is shown in FIGS. 11A-C. The initial setup (FIG. 11A) as well as the first acts are similar to those of transformation SDR. Consequently, a new feedback connection line 90 is added to restore an original functionality of the circuit (FIG. 11B). During the last act (FIG. 11C), however, a transformation EL is performed on the first feedback connection 92, leading to a structure with XOR gate 94 of the first feedback connection shifted by one memory element to the left.
Transformation DSR is shown in FIGS. 12A-C. In forming a modified LFSR circuit from the original circuit, transformation ER is first applied to the first feedbck connection 100 (FIG. 12B). Subsequently, the XOR gate 102 of the first feedback connection is shifted such that it crosses the source tap 104 of the second feedback connection 106, or equivalently, the source tap 104 is moved from the output of the XOR gate 102 to the gate's input (FIG. 12 c). This last act removes symbol b from the sum a⊕b being provided to the XOR gate 108 of the second feedback connection. Its loss must be compensated for by adding a feedback connection line 110 between the source tap 112 of the first feedback connection 100 and the XOR gate 108 to maintain both arguments, a and b, on the gate's inputs (FIG. 9C).
The transformations described (EL, ER, SDL, SDR, DSL, DSR) can be utilized one or more times in synthesizing a LFSM. They can also be combined with other transformations in a synthesis. Examples of these possible applications are described below. The architecture of the modified linear finite state machine that can be obtained from these transformations is characterized by an internal fan-out no greater than two, no more than one level of linear logic gates, and short feedback connection lines.
FIGS. 13A-D are examples of synthesizing an LFSR from various types of LFSMs, including a type I LFSR, a type II LFSR, and a linear cellular automaton, by successive applications of EL transformations. In particular the structure of the LFSR shown in FIG. 13A is a true Galois divisor or type II shift register implementing primitive characteristic polynomial x32+x30+x21+x16+x11+x4+1, with five feedback connections that includes lines 120-128 each connecting a shared source tap 129 to separate destination taps that include XOR gates 130-138, respectively. In this LFSR, the XOR gates are each disposed in a respective forward transmission path along the chain of memory elements. Thus, for instance, each XOR gate has one input coupled to the output of a preceding stage, its output coupled to the input of the succeeding stage, and a second input connected to the feedback path line originating at the output of memory element 0. The overall layout of the LFSR circuit has been optimized prior to any further transformations by forming a ring structure. Nevertheless, two of the most significant benefits of the present synthesis methods appear in FIG. 13D, which illustrates a transformation of the original type II LFSR circuit of FIG. 13A to a modified LFSR circuit. As can be seen, the modified LFSR of FIG. 13D has been obtained by applying the transformation EL to the five feedback connections (represented by coefficients x30, x21 , x 16, x11, and x4) one, five, eight, ten, and fourteen times, respectively. This results in movement and division of the source tap 129 into five separate taps and movement of the XOR gates 130-138. Consequently, the combined total length of feedback lines 120-128 in the modified LFSR circuit has been drastically reduced from that of the original LFSR circuit. The internal fan-out of the LFSR has also been reduced by a factor of three, from six elements (memory element 31 and the five XOR gates 130-138) fed by flip-flop 0 in the original LFSR circuit to only two elements (the next memory element and one XOR gate) fed by any flip-flop in the modified LFSR circuit. Furthermore, the modified LFSR circuit of FIG. 13D has, in its worst case, only one level of XOR logic between any pair of flip-flops.
An LFSR can also be synthesized from other types of LFSMs. For example, the modified LFSR shown of FIG. 13D can be obtained from the type I LFSR of FIG. 13A (implementing the same primitive characteristic polynomial x32+x30+x21+x16+x11+x4+1) by applying the transformations described above. Furthermore, the modified LFSR of FIG. 13D can be obtained from the 32-bit linear cellular automaton of FIG. 13C (implementing also the same primitive characteristic polynomial x32+x30+x21+x16+x11+x4+1) by applying these transformations with null boundary conditions shown in the figure.
FIGS. 14A-D are an example of synthesizing an LFSR by applications of a combination of the above transformations, in this case EL transformations and an SDL transformation. FIG. 14A depicts a type II LFSR implementing primitive characteristic polynomial x8+x6+x5+x+1. Applying the transformation EL four times to the feedback connection represented by coefficient x (feedback connection 130 with source tap 132 and destination gate 134) leads to the circuit shown in FIG. 14B. Applying transformation SDL then shifts feedback connection 130 further to the left by one memory element and adds a feedback connection line 136 at the input to the XOR gate 134 (FIG. 14C). However, because another XOR gate 138 with the same connectivity already exists on the output flip-flop 6, the gate 134 and connection 136 can be discarded. This reduces the number of XOR gates in the LFSR from three to two. To reduce the load of flip-flop 5 (driving XOR gates 140 and 138 in FIG. 14C), an additional transformation EL can be applied in FIG. 14D that shifts the feedback connection 130 further to the left.
Having illustrated and described the principles of the invention in exemplary embodiments, it should be apparent to those skilled in the art that the illustrative embodiments can be modified in arrangement and detail without departing from such principles. For example, the invention may be practiced without the assistance of a computer if desired, so long as its application produces a useful, concrete, and tangible result. The invention can be used for synthesizing LFSMs other than LFSRs and cellular automata. In view of the many possible embodiments to which the principles of the invention may be applied, it should be understood that the illustrative embodiments are intended to teach these principles and not to limit the scope of the invention. We therefore claim as our invention all that comes within the scope and spirit of the following claims and their equivalents.

Claims (19)

1. A computer-readable medium storing computer-executable instructions for performing the following:
obtaining a first layout of a linear finite state machine, the first layout of the linear finite state machine including a plurality of serially coupled memory elements and one or more first layout feedback connections, each of the one or more first layout feedback connections coupling an output of a respective one of the memory elements to inputs of one or more respective other ones of the memory elements, wherein the one or more feedback connections couple the output of a respective one of the memory elements to the inputs of the one or more respective other ones of the memory elements via respective logic gates; and
performing one or more transformations of the one or more feedback connections to transform the first layout of the linear finite state machine into a second layout of the linear finite state machine, the combined length of the one or more transformed feedback connections in the second layout of the linear finite state machine being less than the combined length of the feedback connections in the first layout of the linear finite state machine, the second layout of the linear finite state machine further being capable of providing a same output sequence as the first layout of the linear finite state machine.
2. The computer-readable medium of claim 1, wherein one of the one or more transformations performed involves shifting a source tap and a destination tap of a respective feedback connection in a same direction.
3. The computer-readable medium of claim 1, wherein one of the one or more transformations performed involves adding a new feedback connection.
4. The computer-readable medium of claim 1, wherein the linear finite state machine is a type I linear feedback shift register, type II linear feedback shift register, or cellular automata.
5. The computer-readable medium of claim 1, wherein the act of performing the one or more transformations reduces the internal fan-out in the linear finite state machine.
6. The computer-readable medium of claim 1, wherein the act of performing the one or more transformations reduces the levels of the logic gates in the linear finite state machine.
7. The computer-readable medium of claim 1, wherein the act of obtaining the first layout of the linear finite state machine comprises obtaining the first layout of the linear finite state machine from secondary storage or memory.
8. A linear finite state machine synthesized by the method of claim 1.
9. A computer-readable medium storing computer-executable instructions for performing the following:
obtaining a first layout of a linear finite state machine, the first layout of the linear finite state machine including a plurality of serially coupled memory elements and at least one feedback connection coupling an output of a source memory element in the linear finite state machine to respective inputs of two or more destination memory elements in the linear finite state machine via respective linear logic gates at the respective inputs of the two or more destination memory elements; and
transforming the first layout of the linear finite state machine into a second layout of the linear finite state machine by performing one or more transformations to the at least one feedback connection, the one or more transformations reducing the fan-out of the at least one feedback connection by replacing an original connection between the output of the source memory element and the input of one of the destination memory elements with a shifted version of the original connection.
10. The computer-readable medium of claim 9, wherein the source memory element and the destination memory element of the shifted version of the original connection are a same number of memory elements away from the source memory element and the destination memory element of the original connection.
11. The computer-readable medium of claim 9, wherein the linear finite state machine is a type I linear feedback shift register, type II linear feedback shift register, or cellular automata.
12. The computer-readable medium of claim 9, wherein the length of the shifted version of the original connection is less than the length of the original connection.
13. The computer-readable medium of claim 9, wherein the act of transforming the first layout of the linear finite state machine into a second layout of the linear finite state machine comprises reducing the number of the linear logic gates in the at least one feedback connection.
14. The computer-readable medium of claim 9, wherein the act of transforming the first layout of the linear finite state machine into a second layout of the linear finite state machine comprises reducing the number of the linear logic gates in the at least one feedback connection to one.
15. The computer-readable medium of claim 9, wherein the second layout of the linear finite state machine is capable of generating a same output sequence as the first layout of the linear finite state machine.
16. The computer-readable medium of claim 9, wherein the act of obtaining the first layout of the linear finite state machine comprises obtaining the first layout of the linear finite state machine from secondary storage or memory.
17. A linear finite state machine synthesized by the method of claim 9.
18. A system, comprising:
means for obtaining a first layout of a linear finite state machine, the first layout of the linear finite state machine including a plurality of serially coupled memory elements and one or more first layout feedback connections, each of the one or more first layout feedback connections coupling an output of a respective one of the memory elements to inputs of one or more respective other ones of the memory elements, wherein the one or more feedback connections couple the output of a respective one of the memory elements to the inputs of the one or more respective other ones of the memory elements via respective logic gates; and
means for performing one or more transformations of the one or more feedback connections to transform the first layout of the linear finite state machine into a second layout of the linear finite state machine, the combined length of the one or more transformed feedback connections in the second layout of the linear finite state machine being less than the combined length of the feedback connections in the first layout of the linear finite state machine, the second layout of the linear finite state machine further being capable of providing a same output sequence as the first layout of the linear finite state machine.
19. The system of claim 18, wherein the means for performing the one or more transformations reduces the levels of the logic gates in the linear finite state machine.
US11/894,393 1999-11-23 2007-08-20 Method for synthesizing linear finite state machines Expired - Lifetime US8024387B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/894,393 US8024387B2 (en) 1999-11-23 2007-08-20 Method for synthesizing linear finite state machines
US13/013,712 US8533547B2 (en) 1999-11-23 2011-01-25 Continuous application and decompression of test patterns and selective compaction of test responses
US14/021,800 US9134370B2 (en) 1999-11-23 2013-09-09 Continuous application and decompression of test patterns and selective compaction of test responses
US14/853,412 US9664739B2 (en) 1999-11-23 2015-09-14 Continuous application and decompression of test patterns and selective compaction of test responses
US15/608,716 US10234506B2 (en) 1999-11-23 2017-05-30 Continuous application and decompression of test patterns and selective compaction of test responses

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US16744599P 1999-11-23 1999-11-23
US09/620,023 US6353842B1 (en) 1999-11-23 2000-07-20 Method for synthesizing linear finite state machines
US09/957,701 US6539409B2 (en) 1999-11-23 2001-09-18 Method for synthesizing linear finite state machines
US10/346,699 US6708192B2 (en) 1999-11-23 2003-01-16 Method for synthesizing linear finite state machines
US10/781,031 US7260591B2 (en) 1999-11-23 2004-02-17 Method for synthesizing linear finite state machines
US11/894,393 US8024387B2 (en) 1999-11-23 2007-08-20 Method for synthesizing linear finite state machines

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/781,031 Continuation US7260591B2 (en) 1999-11-23 2004-02-17 Method for synthesizing linear finite state machines

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US09/713,664 Continuation-In-Part US6684358B1 (en) 1999-11-23 2000-11-15 Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US13/013,712 Continuation-In-Part US8533547B2 (en) 1999-11-23 2011-01-25 Continuous application and decompression of test patterns and selective compaction of test responses

Publications (2)

Publication Number Publication Date
US20070294327A1 US20070294327A1 (en) 2007-12-20
US8024387B2 true US8024387B2 (en) 2011-09-20

Family

ID=26863181

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/620,023 Expired - Lifetime US6353842B1 (en) 1999-11-23 2000-07-20 Method for synthesizing linear finite state machines
US09/957,701 Expired - Lifetime US6539409B2 (en) 1999-11-23 2001-09-18 Method for synthesizing linear finite state machines
US10/346,699 Expired - Lifetime US6708192B2 (en) 1999-11-23 2003-01-16 Method for synthesizing linear finite state machines
US10/781,031 Expired - Lifetime US7260591B2 (en) 1999-11-23 2004-02-17 Method for synthesizing linear finite state machines
US11/894,393 Expired - Lifetime US8024387B2 (en) 1999-11-23 2007-08-20 Method for synthesizing linear finite state machines

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US09/620,023 Expired - Lifetime US6353842B1 (en) 1999-11-23 2000-07-20 Method for synthesizing linear finite state machines
US09/957,701 Expired - Lifetime US6539409B2 (en) 1999-11-23 2001-09-18 Method for synthesizing linear finite state machines
US10/346,699 Expired - Lifetime US6708192B2 (en) 1999-11-23 2003-01-16 Method for synthesizing linear finite state machines
US10/781,031 Expired - Lifetime US7260591B2 (en) 1999-11-23 2004-02-17 Method for synthesizing linear finite state machines

Country Status (6)

Country Link
US (5) US6353842B1 (en)
EP (2) EP2144134B1 (en)
JP (1) JP3595537B2 (en)
AT (2) ATE556369T1 (en)
DE (1) DE60043827D1 (en)
WO (1) WO2001038955A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
CN106293611A (en) * 2015-06-03 2017-01-04 宜春市等比科技有限公司 A kind of pseudorandom number generation method for spread spectrum communication and channeling
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
TWI645679B (en) * 2015-12-17 2018-12-21 亞德諾半導體環球公司 Adaptive digital quantization noise cancellation filters for mash adcs

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6353842B1 (en) * 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US8533547B2 (en) * 1999-11-23 2013-09-10 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6874109B1 (en) * 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
JP3845016B2 (en) * 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション Continuous application and decompression of test patterns to the field of circuit technology under test
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6647051B1 (en) * 1999-12-29 2003-11-11 Koninklijke Philips Electronics N.V. Zero delay mask for galois LFSR
US6647054B1 (en) * 1999-12-29 2003-11-11 Koninklijke Philips Electronics N.V. Multiple mask arrangement for jumping in pseudo-noise sequences
US6910057B2 (en) * 2001-10-17 2005-06-21 Hewlett-Packard Development Company, L.P. Truth table candidate reduction for cellular automata based random number generators
US6985918B2 (en) * 2001-10-17 2006-01-10 Hewlett-Packard Development Company, L.P. Random number generators implemented with cellular array
US7155400B1 (en) 2001-11-14 2006-12-26 Qgenisys, Inc. Universal task management system, method and product for automatically managing remote workers, including automatically recruiting workers
GB2386444B (en) * 2002-03-12 2004-05-26 Toshiba Res Europ Ltd Digital correlators
US7007223B2 (en) * 2002-06-30 2006-02-28 Intel Corporation Efficient method and apparatus for low latency forward error correction
US7240260B2 (en) * 2002-12-11 2007-07-03 Intel Corporation Stimulus generation
CN1512678A (en) * 2002-12-26 2004-07-14 �ʼҷ����ֵ��ӹɷ����޹�˾ Systematic structure for training sequence forming in communication system
KR100813954B1 (en) * 2003-01-16 2008-03-14 삼성전자주식회사 Data Encryption apparatus and method
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
WO2004072660A2 (en) 2003-02-13 2004-08-26 Mentor Graphics Corporation Compressing test responses using a compactor
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7409526B1 (en) * 2003-10-28 2008-08-05 Cisco Technology, Inc. Partial key hashing memory
CN100472430C (en) * 2004-01-30 2009-03-25 日本胜利株式会社 Pseudo random number generation device and pseudo random number generation program
EP2677328B1 (en) * 2006-02-17 2015-07-29 Mentor Graphics Corporation Multi-stage test response compactors
US7647540B2 (en) * 2006-07-21 2010-01-12 Janusz Rajski Decompressors for low power decompression of test patterns
US7797603B2 (en) * 2006-07-21 2010-09-14 Janusz Rajski Low power decompression of test cubes
WO2008100520A2 (en) 2007-02-12 2008-08-21 Mentor Graphics Corporation Low power scan testing techniques and apparatus
US8166359B2 (en) 2007-12-20 2012-04-24 Mentor Graphics Corporation Selective per-cycle masking of scan chains for system level test
US8176394B2 (en) * 2008-04-11 2012-05-08 Mediatek Inc. Linear feedback shift register structure and method
KR100991957B1 (en) * 2009-01-20 2010-11-04 주식회사 팬택 Apparatus and method for scrambling sequence generation in a broadband wireless communication system
US10955460B2 (en) 2010-03-16 2021-03-23 Mentor Graphics Corporation Test scheduling and test access in test compression environment
US8949299B2 (en) 2011-08-01 2015-02-03 Syntest Technologies, Inc. Method and apparatus for hybrid ring generator design
US10345369B2 (en) * 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US10708043B2 (en) 2013-03-07 2020-07-07 David Mayer Hutchinson One pad communications
US9588860B2 (en) * 2013-12-26 2017-03-07 Semtech Canada Corporation Systems and methods for random number generation using a fractional rate clock
US10215803B1 (en) 2014-10-15 2019-02-26 Santiago Remersaro Method and apparatus for concurrent inter-test response compaction and diagnosis
US9933485B2 (en) 2015-02-24 2018-04-03 Mentor Graphics Corporation Deterministic built-in self-test based on compressed test patterns stored on chip and their derivatives
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US10996273B2 (en) 2018-03-22 2021-05-04 Siemens Industry Software Inc. Test generation using testability-based guidance
US11422188B2 (en) 2018-03-22 2022-08-23 Siemens Industry Software Inc Isometric control data generation for test compression
CN112154336B (en) 2018-03-22 2024-03-29 西门子工业软件有限公司 Deterministic star built-in self-test
CN112154338B (en) 2018-03-22 2023-05-30 西门子工业软件有限公司 Flexible equidistant decompressor architecture for test compression
US10673662B2 (en) * 2018-05-11 2020-06-02 Keysight Technologies, Inc. Methods and circuits for generating parallel pseudorandom binary sequences
US10977003B2 (en) * 2019-08-28 2021-04-13 International Business Machines Corporation Linear feedback shift register with near-maximal length sequences
US11048476B2 (en) 2019-08-28 2021-06-29 International Business Machines Corporation Non-linear feedback shift register
US11815555B2 (en) 2019-09-06 2023-11-14 Siemens Industry Software Inc. Universal compactor architecture for testing circuits
US11232246B2 (en) 2019-11-14 2022-01-25 Siemens Industry Software Inc. Layout-friendly test pattern decompressor
US11106848B2 (en) 2019-11-14 2021-08-31 Siemens Industry Software Inc. Diagnostic resolution enhancement with reversible scan chains
WO2023107096A1 (en) 2021-12-07 2023-06-15 Siemens Industry Software Inc. X-masking for in-system deterministic test

Citations (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US519078A (en) 1894-05-01 Martin middleton wilson
US713605A (en) 1899-11-13 1902-11-18 Gen Electric Transformer.
US3614400A (en) 1969-11-26 1971-10-19 Rca Corp Maximum length pulse sequence generators
US3700869A (en) 1970-12-04 1972-10-24 Nasa Pseudonoise sequence generators with three-tap linear feedback shift registers
US4024460A (en) 1973-11-23 1977-05-17 Hewlett-Packard Company Electronic line stretcher
US4122399A (en) 1977-12-07 1978-10-24 Bell Telephone Laboratories, Incorporated Distortion generator
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
US4320509A (en) 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US4536881A (en) 1979-03-15 1985-08-20 Nippon Electric Co., Ltd. Integrated logic circuit adapted to performance tests
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
US4785410A (en) 1985-06-05 1988-11-15 Clarion Co., Ltd. Maximum length shift register sequences generator
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4827476A (en) 1987-04-16 1989-05-02 Tandem Computers Incorporated Scan test apparatus for digital systems having dynamic random access memory
US4860236A (en) 1987-10-26 1989-08-22 University Of Manitoba Cellular automaton for generating random data
US4910735A (en) 1986-12-17 1990-03-20 Fujitsu Limited Semiconductor integrated circuit with self-testing
EP0372226A2 (en) 1988-12-09 1990-06-13 International Business Machines Corporation Parallel pseudorandom pattern generator with varying phase shift and method for simulating such a generator
US4974184A (en) 1988-05-05 1990-11-27 Honeywell Inc. Maximum length pseudo-random test pattern generator via feedback network modification
US5072178A (en) 1989-06-09 1991-12-10 Hitachi, Ltd. Method and apparatus for testing logic circuitry by applying a logical test pattern
US5090035A (en) 1990-01-19 1992-02-18 Nec Corporation Linear feedback shift register
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5167034A (en) 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
US5202889A (en) 1990-04-18 1993-04-13 International Business Machines Corporation Dynamic process for the generation of biased pseudo-random test patterns for the functional verification of hardware designs
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5268949A (en) 1990-03-28 1993-12-07 Ando Electric Co., Ltd. Circuit for generating M-sequence pseudo-random pattern
US5293123A (en) 1990-10-19 1994-03-08 Tandem Computers Incorporated Pseudo-Random scan test apparatus
US5301199A (en) 1991-12-16 1994-04-05 Nippon Telegraph And Telephone Corporation Built-in self test circuit
US5325367A (en) 1988-07-13 1994-06-28 U.S. Philips Corporation Memory device containing a static ram memory that is adapted for executing a self-test, and integrated circuit containing such a device as an embedded static ram memory
US5349587A (en) 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
US5394405A (en) 1992-04-24 1995-02-28 International Business Machines Corporation Universal weight generator
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5414716A (en) 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5416783A (en) 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
EP0481097B1 (en) 1990-09-15 1995-06-14 International Business Machines Corporation Method and apparatus for testing a VLSI device
US5444716A (en) 1993-08-30 1995-08-22 At&T Corp. Boundary-scan-based system and method for test and diagnosis
US5446683A (en) 1993-04-06 1995-08-29 Hewlett-Packard Company Methods and apparatus for generating pseudo-random binary patterns
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5524114A (en) 1993-10-22 1996-06-04 Lsi Logic Corporation Method and apparatus for testing semiconductor devices at speed
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5553082A (en) 1995-05-01 1996-09-03 International Business Machines Corporation Built-in self-test for logic circuitry at memory array output
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
US5586125A (en) 1993-02-26 1996-12-17 Warner; William T. Method for generating test vectors for characterizing and verifying the operation of integrated circuits
US5588006A (en) 1992-10-22 1996-12-24 Kabushiki Kaisha Toshiba Logic circuit having a control signal switching logic function and having a testing arrangement
US5592493A (en) 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5612963A (en) 1991-08-23 1997-03-18 International Business Machines Corporation Hybrid pattern self-testing of integrated circuits
US5614838A (en) 1995-11-03 1997-03-25 International Business Machines Corporation Reduced power apparatus and method for testing high speed components
US5617531A (en) 1993-11-02 1997-04-01 Motorola, Inc. Data Processor having a built-in internal self test controller for testing a plurality of memories internal to the data processor
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
US5642362A (en) 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5668817A (en) 1996-07-11 1997-09-16 Northern Telecom Limited Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths
US5680543A (en) 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5694401A (en) 1994-06-27 1997-12-02 Tandem Computers Incorporated Fault isolation using pseudo-random scan
US5701308A (en) 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5701309A (en) 1992-12-02 1997-12-23 At&T Global Information Solutions Company Automated test equipment digital tester expansion apparatus
US5717701A (en) 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5717702A (en) 1995-03-14 1998-02-10 Hughes Electronics Scan testing digital logic with differing frequencies of system clock and test clock
US5719913A (en) 1996-03-12 1998-02-17 Mitsubishi Denki Kabushiki Kaisha Pseudo-random number generating circuit and bidirectional shift register
US5748497A (en) 1994-10-31 1998-05-05 Texas Instruments Incorporated System and method for improving fault coverage of an electric circuit
US5761489A (en) 1995-04-17 1998-06-02 Motorola Inc. Method and apparatus for scan testing with extended test vector storage in a multi-purpose memory system
US5790562A (en) 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5790626A (en) 1996-09-10 1998-08-04 Hewlett-Packard Company Bi-directional linear feedback shift register
US5812561A (en) 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
US5831992A (en) 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
US5867507A (en) 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
US5870476A (en) 1995-09-15 1999-02-09 Thomson Multimedia S.A. Process for pledging data for a secure data exchange protocol
US5883906A (en) 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
US5899961A (en) 1996-04-30 1999-05-04 Hewlett-Packard Company Electronic circuit or board tester with compressed data-sequences
US5905986A (en) 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5938784A (en) 1996-10-21 1999-08-17 Samsung Electronics, Co., Ltd. Linear feedback shift register, multiple input signature register, and built-in self test circuit using such registers
US5968194A (en) 1997-03-31 1999-10-19 Intel Corporation Method for application of weighted random patterns to partial scan designs
US5974433A (en) 1984-06-29 1999-10-26 Currie; Robert John High speed M-sequence generator and decoder circuit
US5974179A (en) 1995-02-13 1999-10-26 Integrated Device Technology, Inc. Binary image data compression and decompression
US5983380A (en) 1997-09-16 1999-11-09 International Business Machines Corporation Weighted random pattern built-in self-test
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
US5991898A (en) 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6006349A (en) 1995-07-26 1999-12-21 Advantest Corporation High speed pattern generating method and high speed pattern generator using the method
US6014763A (en) 1998-01-15 2000-01-11 International Business Machines Corporation At-speed scan testing
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
US6029263A (en) 1994-06-30 2000-02-22 Tandem Computers Incorporated Interconnect testing using non-compatible scan architectures
US6041429A (en) 1990-06-07 2000-03-21 International Business Machines Corporation System for test data storage reduction
US6055658A (en) 1995-10-02 2000-04-25 International Business Machines Corporation Apparatus and method for testing high speed components using low speed test apparatus
US6061818A (en) 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns
EP0887930A3 (en) 1997-06-23 2000-05-10 Motorola, Inc. Signal processing apparatus and method for processing signals
US6072823A (en) 1996-08-07 2000-06-06 Matsushita Electric Industrial Co., Ltd. Pseudo-random noise series generator
US6100716A (en) 1998-09-17 2000-08-08 Nortel Networks Corporation Voltage excursion detection apparatus
US6122761A (en) 1997-08-26 2000-09-19 Samsung Electronics Co., Ltd. IC chip tester using compressed digital test data and a method for testing IC chip using the tester
US6141669A (en) 1998-05-06 2000-10-31 Nortel Networks Corporation Pseudorandom binary sequence block shifter
US6148425A (en) 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
US6158032A (en) 1998-03-27 2000-12-05 International Business Machines Corporation Data processing system, circuit arrangement and program product including multi-path scan interface and methods thereof
US6178532B1 (en) 1998-06-11 2001-01-23 Micron Technology, Inc. On-chip circuit and method for testing memory devices
US6181164B1 (en) 1999-01-08 2001-01-30 Xilinx, Inc. Linear feedback shift register in a programmable gate array
US6199182B1 (en) 1997-03-27 2001-03-06 Texas Instruments Incorporated Probeless testing of pad buffers on wafer
US6240432B1 (en) 1998-12-28 2001-05-29 Vanguard International Semiconductor Corporation Enhanced random number generator
WO2001038889A1 (en) 1999-11-23 2001-05-31 Mentor Graphics Corporation Method and apparatus for selectively compacting test responses
US6256759B1 (en) 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6256760B1 (en) 1998-11-13 2001-07-03 Nortel Networks Limited Automatic test equipment scan test enhancement
US6272653B1 (en) 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
US6286119B1 (en) 1998-12-22 2001-09-04 Nortel Networks Limited Delay fault testing with IEEE 1149.1
US6300885B1 (en) 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6308291B1 (en) 1997-09-18 2001-10-23 Siemens Aktiengesellschaft Ag Method for testing an electronic circuit
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6330681B1 (en) 1997-12-31 2001-12-11 Logicvision, Inc. Method and apparatus for controlling power level during BIST
WO2001039254A3 (en) 1999-11-23 2001-12-13 Mentor Graphics Corp Continuous application and decompression of test patterns to a circuit-under-test
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6385750B1 (en) 1999-09-01 2002-05-07 Synopsys, Inc. Method and system for controlling test data volume in deterministic test pattern generation
US20020112199A1 (en) 2000-03-09 2002-08-15 Whetsel Lee D. Adapting scan-bist architectures for low power operation
US20020124217A1 (en) 2000-12-07 2002-09-05 Fujitsu Limited Testing apparatus and testing method for an integrated circuit, and integrated circuit
US6463560B1 (en) 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US6467058B1 (en) 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6510398B1 (en) 2000-06-22 2003-01-21 Intel Corporation Constrained signature-based test
US6590929B1 (en) 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6611933B1 (en) 2000-04-12 2003-08-26 International Business Machines Corporation Real-time decoder for scan test patterns
US6618826B1 (en) 2000-10-26 2003-09-09 Cadence Design Systems, Inc. Test sequences generated by automatic test pattern generation and applicable to circuits with embedded multi-port RAMs
US6684358B1 (en) 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6694466B1 (en) 1999-10-27 2004-02-17 Agere Systems Inc. Method and system for improving the test quality for scan-based BIST using a general test application scheme
US20050015688A1 (en) 1999-11-23 2005-01-20 Janusz Rajski Phase shifter with reduced linear dependency
US7001461B2 (en) 2002-09-09 2006-02-21 Advanced Lcd Technologies Development Center Co., Ltd. Crystallization apparatus, crystallization method, and phase shifter
US7493540B1 (en) 1999-11-23 2009-02-17 Jansuz Rajski Continuous application and decompression of test patterns to a circuit-under-test
JP4236378B2 (en) 1997-11-28 2009-03-11 株式会社日立メディコ MRI equipment
US7563641B2 (en) 2004-08-18 2009-07-21 Harvatek Corporation Laminated light-emitting diode display device and manufacturing method thereof
US7610539B2 (en) 2005-10-03 2009-10-27 Nec Laboratories America, Inc. Method and apparatus for testing logic circuit designs

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991010182A1 (en) * 1989-12-21 1991-07-11 Bell Communications Research, Inc. Generator of multiple uncorrelated noise sources
US5391199A (en) * 1993-07-20 1995-02-21 Biosense, Inc. Apparatus and method for treating cardiac arrhythmias
US5466683A (en) 1994-08-25 1995-11-14 Teva Pharmaceutical Industries Ltd. Water-soluble analogs of carbamazepine

Patent Citations (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US519078A (en) 1894-05-01 Martin middleton wilson
US713605A (en) 1899-11-13 1902-11-18 Gen Electric Transformer.
US3614400A (en) 1969-11-26 1971-10-19 Rca Corp Maximum length pulse sequence generators
US3700869A (en) 1970-12-04 1972-10-24 Nasa Pseudonoise sequence generators with three-tap linear feedback shift registers
US4024460A (en) 1973-11-23 1977-05-17 Hewlett-Packard Company Electronic line stretcher
US4122399A (en) 1977-12-07 1978-10-24 Bell Telephone Laboratories, Incorporated Distortion generator
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
US4536881A (en) 1979-03-15 1985-08-20 Nippon Electric Co., Ltd. Integrated logic circuit adapted to performance tests
US4320509A (en) 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
EP0108256B1 (en) 1982-11-08 1987-05-13 International Business Machines Corporation Self-testing method for logic circuitry
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US5974433A (en) 1984-06-29 1999-10-26 Currie; Robert John High speed M-sequence generator and decoder circuit
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4785410A (en) 1985-06-05 1988-11-15 Clarion Co., Ltd. Maximum length shift register sequences generator
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
US4910735A (en) 1986-12-17 1990-03-20 Fujitsu Limited Semiconductor integrated circuit with self-testing
US4827476A (en) 1987-04-16 1989-05-02 Tandem Computers Incorporated Scan test apparatus for digital systems having dynamic random access memory
US4860236A (en) 1987-10-26 1989-08-22 University Of Manitoba Cellular automaton for generating random data
US4974184A (en) 1988-05-05 1990-11-27 Honeywell Inc. Maximum length pseudo-random test pattern generator via feedback network modification
US5325367A (en) 1988-07-13 1994-06-28 U.S. Philips Corporation Memory device containing a static ram memory that is adapted for executing a self-test, and integrated circuit containing such a device as an embedded static ram memory
US4959832A (en) 1988-12-09 1990-09-25 International Business Machines Parallel pseudorandom pattern generator with varying phase shift
EP0372226A2 (en) 1988-12-09 1990-06-13 International Business Machines Corporation Parallel pseudorandom pattern generator with varying phase shift and method for simulating such a generator
US5072178A (en) 1989-06-09 1991-12-10 Hitachi, Ltd. Method and apparatus for testing logic circuitry by applying a logical test pattern
US5090035A (en) 1990-01-19 1992-02-18 Nec Corporation Linear feedback shift register
EP0438322A3 (en) 1990-01-19 1992-11-19 Nec Corporation Linear feedback shift register
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5268949A (en) 1990-03-28 1993-12-07 Ando Electric Co., Ltd. Circuit for generating M-sequence pseudo-random pattern
US5202889A (en) 1990-04-18 1993-04-13 International Business Machines Corporation Dynamic process for the generation of biased pseudo-random test patterns for the functional verification of hardware designs
US6041429A (en) 1990-06-07 2000-03-21 International Business Machines Corporation System for test data storage reduction
US5167034A (en) 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
EP0481097B1 (en) 1990-09-15 1995-06-14 International Business Machines Corporation Method and apparatus for testing a VLSI device
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5293123A (en) 1990-10-19 1994-03-08 Tandem Computers Incorporated Pseudo-Random scan test apparatus
US5612963A (en) 1991-08-23 1997-03-18 International Business Machines Corporation Hybrid pattern self-testing of integrated circuits
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
US5301199A (en) 1991-12-16 1994-04-05 Nippon Telegraph And Telephone Corporation Built-in self test circuit
EP0549949B1 (en) 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Built-in self test circuit
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5349587A (en) 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
US5394405A (en) 1992-04-24 1995-02-28 International Business Machines Corporation Universal weight generator
US5588006A (en) 1992-10-22 1996-12-24 Kabushiki Kaisha Toshiba Logic circuit having a control signal switching logic function and having a testing arrangement
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5701309A (en) 1992-12-02 1997-12-23 At&T Global Information Solutions Company Automated test equipment digital tester expansion apparatus
US5586125A (en) 1993-02-26 1996-12-17 Warner; William T. Method for generating test vectors for characterizing and verifying the operation of integrated circuits
US5446683A (en) 1993-04-06 1995-08-29 Hewlett-Packard Company Methods and apparatus for generating pseudo-random binary patterns
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5416783A (en) 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
US5444716A (en) 1993-08-30 1995-08-22 At&T Corp. Boundary-scan-based system and method for test and diagnosis
US5414716A (en) 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
US5524114A (en) 1993-10-22 1996-06-04 Lsi Logic Corporation Method and apparatus for testing semiconductor devices at speed
US5617531A (en) 1993-11-02 1997-04-01 Motorola, Inc. Data Processor having a built-in internal self test controller for testing a plurality of memories internal to the data processor
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
US5694401A (en) 1994-06-27 1997-12-02 Tandem Computers Incorporated Fault isolation using pseudo-random scan
US6029263A (en) 1994-06-30 2000-02-22 Tandem Computers Incorporated Interconnect testing using non-compatible scan architectures
US5642362A (en) 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5592493A (en) 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5748497A (en) 1994-10-31 1998-05-05 Texas Instruments Incorporated System and method for improving fault coverage of an electric circuit
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5974179A (en) 1995-02-13 1999-10-26 Integrated Device Technology, Inc. Binary image data compression and decompression
US5717702A (en) 1995-03-14 1998-02-10 Hughes Electronics Scan testing digital logic with differing frequencies of system clock and test clock
US5761489A (en) 1995-04-17 1998-06-02 Motorola Inc. Method and apparatus for scan testing with extended test vector storage in a multi-purpose memory system
US5553082A (en) 1995-05-01 1996-09-03 International Business Machines Corporation Built-in self-test for logic circuitry at memory array output
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
US6006349A (en) 1995-07-26 1999-12-21 Advantest Corporation High speed pattern generating method and high speed pattern generator using the method
US5831992A (en) 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
US5870476A (en) 1995-09-15 1999-02-09 Thomson Multimedia S.A. Process for pledging data for a secure data exchange protocol
US6055658A (en) 1995-10-02 2000-04-25 International Business Machines Corporation Apparatus and method for testing high speed components using low speed test apparatus
US5680543A (en) 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5614838A (en) 1995-11-03 1997-03-25 International Business Machines Corporation Reduced power apparatus and method for testing high speed components
US6021513A (en) 1995-12-12 2000-02-01 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
US5867507A (en) 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
US5719913A (en) 1996-03-12 1998-02-17 Mitsubishi Denki Kabushiki Kaisha Pseudo-random number generating circuit and bidirectional shift register
US5899961A (en) 1996-04-30 1999-05-04 Hewlett-Packard Company Electronic circuit or board tester with compressed data-sequences
US5790562A (en) 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5668817A (en) 1996-07-11 1997-09-16 Northern Telecom Limited Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths
US6072823A (en) 1996-08-07 2000-06-06 Matsushita Electric Industrial Co., Ltd. Pseudo-random noise series generator
US5717701A (en) 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5812561A (en) 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
US5790626A (en) 1996-09-10 1998-08-04 Hewlett-Packard Company Bi-directional linear feedback shift register
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
US5938784A (en) 1996-10-21 1999-08-17 Samsung Electronics, Co., Ltd. Linear feedback shift register, multiple input signature register, and built-in self test circuit using such registers
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5872793A (en) 1996-10-29 1999-02-16 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5701308A (en) 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5905986A (en) 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5991898A (en) 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6199182B1 (en) 1997-03-27 2001-03-06 Texas Instruments Incorporated Probeless testing of pad buffers on wafer
US5968194A (en) 1997-03-31 1999-10-19 Intel Corporation Method for application of weighted random patterns to partial scan designs
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
US6061818A (en) 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns
EP0887930A3 (en) 1997-06-23 2000-05-10 Motorola, Inc. Signal processing apparatus and method for processing signals
US5883906A (en) 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
US6122761A (en) 1997-08-26 2000-09-19 Samsung Electronics Co., Ltd. IC chip tester using compressed digital test data and a method for testing IC chip using the tester
US5983380A (en) 1997-09-16 1999-11-09 International Business Machines Corporation Weighted random pattern built-in self-test
US6308291B1 (en) 1997-09-18 2001-10-23 Siemens Aktiengesellschaft Ag Method for testing an electronic circuit
US6272653B1 (en) 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
JP4236378B2 (en) 1997-11-28 2009-03-11 株式会社日立メディコ MRI equipment
US6330681B1 (en) 1997-12-31 2001-12-11 Logicvision, Inc. Method and apparatus for controlling power level during BIST
US6014763A (en) 1998-01-15 2000-01-11 International Business Machines Corporation At-speed scan testing
US6148425A (en) 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
US6158032A (en) 1998-03-27 2000-12-05 International Business Machines Corporation Data processing system, circuit arrangement and program product including multi-path scan interface and methods thereof
US6141669A (en) 1998-05-06 2000-10-31 Nortel Networks Corporation Pseudorandom binary sequence block shifter
US6178532B1 (en) 1998-06-11 2001-01-23 Micron Technology, Inc. On-chip circuit and method for testing memory devices
US6256759B1 (en) 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6100716A (en) 1998-09-17 2000-08-08 Nortel Networks Corporation Voltage excursion detection apparatus
US6256760B1 (en) 1998-11-13 2001-07-03 Nortel Networks Limited Automatic test equipment scan test enhancement
US6286119B1 (en) 1998-12-22 2001-09-04 Nortel Networks Limited Delay fault testing with IEEE 1149.1
US6240432B1 (en) 1998-12-28 2001-05-29 Vanguard International Semiconductor Corporation Enhanced random number generator
US6181164B1 (en) 1999-01-08 2001-01-30 Xilinx, Inc. Linear feedback shift register in a programmable gate array
US6467058B1 (en) 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
US6590929B1 (en) 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6463560B1 (en) 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US6385750B1 (en) 1999-09-01 2002-05-07 Synopsys, Inc. Method and system for controlling test data volume in deterministic test pattern generation
US6694466B1 (en) 1999-10-27 2004-02-17 Agere Systems Inc. Method and system for improving the test quality for scan-based BIST using a general test application scheme
US6539409B2 (en) 1999-11-23 2003-03-25 Janusz Rajski Method for synthesizing linear finite state machines
WO2001038889A1 (en) 1999-11-23 2001-05-31 Mentor Graphics Corporation Method and apparatus for selectively compacting test responses
US7900104B2 (en) 1999-11-23 2011-03-01 Mentor Graphics Corporation Test pattern compression for an integrated circuit test environment
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US7877656B2 (en) 1999-11-23 2011-01-25 Mentor Graphics Corporation Continuous application and decompression of test patterns to a circuit-under-test
WO2001039254A3 (en) 1999-11-23 2001-12-13 Mentor Graphics Corp Continuous application and decompression of test patterns to a circuit-under-test
US6543020B2 (en) 1999-11-23 2003-04-01 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US20030120988A1 (en) 1999-11-23 2003-06-26 Janusz Rajski Continuous application and decompression of test patterns to a circuit-under-test
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US20030131298A1 (en) 1999-11-23 2003-07-10 Mentor Graphics Corporation Test pattern compression for an integrated circuit test environment
US7865794B2 (en) 1999-11-23 2011-01-04 Mentor Graphics Corporation Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US7805649B2 (en) 1999-11-23 2010-09-28 Mentor Graphics Corporation Method and apparatus for selectively compacting test responses
US6684358B1 (en) 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US7805651B2 (en) 1999-11-23 2010-09-28 Mentor Graphics Corporation Phase shifter with reduced linear dependency
US6708192B2 (en) 1999-11-23 2004-03-16 Janusz Rajski Method for synthesizing linear finite state machines
US20040128599A1 (en) 1999-11-23 2004-07-01 Mentor Graphics Corporation Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US7653851B2 (en) 1999-11-23 2010-01-26 Janusz Rajski Phase shifter with reduced linear dependency
US20040172431A1 (en) 1999-11-23 2004-09-02 Mentor Graphics Corporation Method for synthesizing linear finite state machines
US6829740B2 (en) 1999-11-23 2004-12-07 Janusz Rajski Method and apparatus for selectively compacting test responses
US20050015688A1 (en) 1999-11-23 2005-01-20 Janusz Rajski Phase shifter with reduced linear dependency
US6874109B1 (en) 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US20050097419A1 (en) 1999-11-23 2005-05-05 Mentor Graphics Corporation Method and apparatus for selectively compacting test reponses
US7523372B2 (en) 1999-11-23 2009-04-21 Janusz Rajski Phase shifter with reduced linear dependency
US7093175B2 (en) 1999-11-23 2006-08-15 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US7111209B2 (en) 1999-11-23 2006-09-19 Janusz Rajski Test pattern compression for an integrated circuit test environment
US7260591B2 (en) 1999-11-23 2007-08-21 Janusz Rajski Method for synthesizing linear finite state machines
US7263641B2 (en) 1999-11-23 2007-08-28 Janusz Rajski Phase shifter with reduced linear dependency
US7478296B2 (en) 1999-11-23 2009-01-13 Janusz Rajski Continuous application and decompression of test patterns to a circuit-under-test
US7493540B1 (en) 1999-11-23 2009-02-17 Jansuz Rajski Continuous application and decompression of test patterns to a circuit-under-test
US7500163B2 (en) 1999-11-23 2009-03-03 Janusz Rajski Method and apparatus for selectively compacting test responses
US7509546B2 (en) 1999-11-23 2009-03-24 Janusz Rajski Test pattern compression for an integrated circuit test environment
US7506232B2 (en) 1999-11-23 2009-03-17 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US6763488B2 (en) 2000-03-09 2004-07-13 Texas Instruments Incorporated Generator/compactor scan circuit low power adapter with counter
US20020112199A1 (en) 2000-03-09 2002-08-15 Whetsel Lee D. Adapting scan-bist architectures for low power operation
US6611933B1 (en) 2000-04-12 2003-08-26 International Business Machines Corporation Real-time decoder for scan test patterns
US6300885B1 (en) 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6510398B1 (en) 2000-06-22 2003-01-21 Intel Corporation Constrained signature-based test
US6618826B1 (en) 2000-10-26 2003-09-09 Cadence Design Systems, Inc. Test sequences generated by automatic test pattern generation and applicable to circuits with embedded multi-port RAMs
US20020124217A1 (en) 2000-12-07 2002-09-05 Fujitsu Limited Testing apparatus and testing method for an integrated circuit, and integrated circuit
US7001461B2 (en) 2002-09-09 2006-02-21 Advanced Lcd Technologies Development Center Co., Ltd. Crystallization apparatus, crystallization method, and phase shifter
US7563641B2 (en) 2004-08-18 2009-07-21 Harvatek Corporation Laminated light-emitting diode display device and manufacturing method thereof
US7610539B2 (en) 2005-10-03 2009-10-27 Nec Laboratories America, Inc. Method and apparatus for testing logic circuit designs
US7610540B2 (en) 2005-10-03 2009-10-27 Nec Laboratories America, Inc. Method for generating, from a test cube set, a generator configured to generate a test pattern

Non-Patent Citations (90)

* Cited by examiner, † Cited by third party
Title
Aitken et al., "A Diagnosis Method Using Pseudo-Random Vectors Without Intermediate Signatures," Proc. ICCAD, pp. 574-577 (1989).
Bardell et al., "Pseudorandom arrays for built-in tests," IEEE Trans. on Computers, vol. C-35, No. 7, pp. 653-658 (1986).
Bardell et al., "Pseudorandom Sequence Generators," Built in test for VLSI: Pseudorandom Techniques, Chapter 3, pp. 61-88 (1987).
Bardell et al., "Test Response Compression Techniques," in Built-In Test for VLSI Pseudorandom Techniques, Chapter 4, pp. 89-108 (1987).
Bardell, "Design Considerations for Parallel Pseudorandom Pattern Generators", Journal of Electronic Testing: Theory and Applications, vol. 1, pp. 73-87 (1990).
Bassett et al., "Low-Cost Testing of High-Density Logic Components," IEEE Design & Test of Computers, pp. 15-28 (Apr. 1990).
Benowitz et al., "An Advanced Fault Isolation System for Digital Logic," IEEE Trans. on Computers, vol. C-24, No. 5, pp. 489-497 (May 1975).
Bershteyn, "Calculation of Multiple Sets of Weights for Weighted Random Testing," Proc. ITC, pp. 1031-1040 (1993).
Bhattacharya et al., "Zero-Aliasing Space Compression using a Single Periodic Output and its Application to Testing of Embedded Cores," VLSI Design, 6 pp. (Jan. 2000).
Chakrabarty et al., "Design of Built-In Test Generator Circuits Using Width Compression," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, No. 10, pp. 1044-1051 (Oct. 1998).
Chakrabarty et al., "Optimal space compaction of test responses," Proc. ITC, pp. 834-843 (1995).
Chakrabarty et al., "Optimal Zero-Aliasing Space Compaction of Test Responses," IEEE Trans. on Computers, vol. 47, No. 11, pp. 1171-1187 (Nov. 1998).
Chakrabarty et al., "Test response compaction using multiplexed parity trees," IEEE Transactions CAD of Integrated Circuits and Systems, vol. CAD-15, No. 11, pp. 1399-1408 (1996).
Chakrabarty et al., "Test Width Compression for Built-In Self Testing," Proc. ITC, pp. 328-337 (Nov. 1997).
Chakrabarty, "Zero-Aliasing Space Compaction Using Linear Compactors With Bounded Overhead," IEEE Transactions on CAD of Integrated Circuits and Systems, vol. 17, No. 5, pp. 452-457 (May 1998).
Fagot et al., "On Calculating Efficient LFSR Seeds for Built-In Self Test," IEEE, pp. 7-14 (1999).
Frohwerk, "Signature analysis: a new digital field services method," Hewlett-Packard Journal, pp. 2-8 (May 1977).
Ghosh-Dastidar et al., "Fault Diagnosis in Scan-Based BIST Using Both Time and Space Information," Proc. ITC, pp. 95-102 (Sep. 1999).
Golomb, Shift Register Sequences, Holden Day, San Francisco (1967).
Hamzaoglu et al., "Reducing Test Application Time for Full Scan Embedded Cores," IEEE Proc. FTCS, pp. 260-267 (1999).
Hayes, "Check sum test methods," Proc. FTCS, pp. 114-120 (1976).
Hayes, "Transition count testing of combinational logic circuits," IEEE Trans. on Computers, vol. C-25, No. 6, pp. 613-620 (1976).
Hellebrand et al., "Built-in Test for Circuits With Scan Based on Reseeding of Multiple Polynomial Linear Feedback Shift Registers," IEEE Trans. on Computers, vol. C-44, pp. 223-233 (Feb. 1995).
Hellebrand et al., "Generation of Vector Patterns Through Reseeding of Multiple-Polynomial Linear Feedback Shift Registers," Proc. ITC, pp. 120-129 (1992).
Hellebrand et al., "Pattern Generation for a Deterministic BIST Scheme," Proc. I-ICAD, pp. 88-94 (1995).
Hetherington et al., "Logic BIST for Large Industrial Designs: Real Issues and Case Studies," Proc. ITC, pp. 358-367 (1999).
International Preliminary Examination Report from International Application No. PCT/US00/31378 (International Publication No. WO 01/38955).
International Search Report from International Application No. PCT/US00/31378 (International Publication No. WO 01/38955).
Ireland et al., "Matrix method to determine shift-register connections for delayed pseudorandom binary sequences," Electronics Letters, vol. 4 No. 15, pp. 309-310 (1968).
Ishida et al., "COMPACT: A hybrid method for compressing test data," Proc. VLSI Test Symp., pp. 62-69 (1998).
Ivanov et al., "Programmable BIST space compactors," IEEE Trans. on Computers, vol. C-45, No. 12, pp. 1393-1404 (1996).
Iyengar et al., "Built-In Self-testing of sequential circuits using precomputed test sets," Proc. VLSI Test Symp., pp. 418-423 (1998).
Jas et al., "Scan vector compression/decompression using statistical coding," Proc. VLSI Test Symp., pp. 114-120 (1999).
Jas et al., "Test vector decompression via cyclical scan chains and its application to testing core-based designs," Proc. ITC, pp. 458-464 (1998).
Jone et al., "Space compression method for built-in self testing of VLSI circuits," Int. Journal of Computer Aided VLSI Design, vol. 3, pp. 309-322 (1991).
Kapur et al., "Design of an efficient weighted random pattern generation system," Proc. ITC., pp. 491-500 (1994).
Karpovsky et al., "Board-Level Diagnosis by Signature Analysis," Proc. ITC, pp. 47-53 (1988).
Karpovsky et al., "Design of Self-Diagnostic Boards by Multiple Signature Analysis," IEEE Trans. on Computers, vol. 42, No. 9, pp. 1035-1044 (Sep. 1993).
Kiln et al., "On using signature registers as pseudorandom pattern generators in built-in self-testing," IEEE Trans. CAD of IC, vol. CAD-7, No. 8, 1988, pp. 919-928.
Koenemann, c/o IBM Corp. , B56/901, "LFSR-Coded Test Patterns for Scan Designs," Proc. European Test Conference, pp. 237-242 (1991).
Konemann et al., "Built-In Logic Block Observation Techniques," Proc. ITC, 6 pp. (1979).
Kundu, "On Diagnosis of Faults in a Scan-Chain," Proc. VLSI Test Symp., pp. 303-308 (1993).
Langdon, Jr., "An Introduction to Arithmetic Coding," IBM J. Res. Develop., vol. 28, No. 2, pp. 135-149 (Mar. 1984).
Latawiec, "New method of generation of shifted linear pseudorandom binary sequences," Proc. IEE, vol. 121, No. 8, pp. 905-906 (1974).
Lew Yan Voon et al., "BIST Linear Generator based on Complemented Outputs," IEEE VLSI Test Symp., pp. 137-142 (1992).
Li et al., "Space compression methods with output data modification," IEEE Trans. CAD of Integrated Circuits and Systems, vol. CAD-6, No. 2, pp. 290-294 (1987).
Mano, "Decoders and Multiplexers," in Computer System Architecture, Chapter 2, pp. 50-54 (1982).
Mrugalski et al., "Synthesis of pattern generators based on cellular automata with phase shifters," Proc. ITC, pp. 368-377 (1999).
Muradali et al., "A new procedure for weighted random built-in self-test," Proc. ITC., pp. 600-669 (1990).
Narayanan et al., "An Efficient Scheme to Diagnose Scan Chains," Proc. ITC, pp. 704-713 (1997).
Pateras et al., "Cube-contained random patterns and their application to the complete testing of synthesized multi-level circuits," Proc. ITC., pp. 473-482 (1991).
Pouya et al., "Synthesis of zero-aliasing elementary-tree space compactors," Proc. VLSI Test Symp., pp. 70-77 (1998).
Rajski et al., "Accumulator-based compaction of test responses," IEEE Trans. on Computers, vol. C-42, No. 6, pp. 643-650 (1993).
Rajski et al., "Automated Synthesis of Large Phase Shifters for Built-In Self-Test," Proc. ITC, Paper 41.1, pp. 1047-1056, (1998).
Rajski et al., "Decompression of Test Data Using Variable-Length Seed LFSRs," Proc. VLSI Test Symp., pp. 426-433, (1995).
Rajski et al., "Design of Phase Shifters for BIST Applications," Proc. VLSI Test Symp., pp. 218-224 (1998).
Rajski et al., "Diagnosis of Scan Cells in BIST Environment," IEEE Trans. on Computers, vol. 48, No. 7, pp. 724-731 (Jul. 1999).
Rajski et al., "Test Data Decompression for Multiple Scan Designs with Boundary Scan," IEEE Trans. on Computers, vol. 47, No. 11, pp. 1188-1200 (Nov. 1998).
Rajski et al., "Test responses compaction in accumulators with rotate carry adders," IEEE Trans. CAD of Integrated Circuits and Systems, vol. CAD-12, No. 4, pp. 531-539 (1993).
Rajski et al., Chapter 3, "Test Response Compaction," and Chapter 4, "Fault Diagnosis," in Arithmetic Built-In Self-Test for Embedded Systems, pp. 87-133 (1998).
Reddy et al., "A Data compression technique for built-in self-test," IEEE Trans. on Computers, vol. C-37, pp. 1151-1156 (1988).
Saluja et al., "Testing Computer Hardware through Data Compression in Space and Time," Proc. ITC, pp. 83-88 (1983).
Savir, "Syndrome-testable design of combinational circuits," IEEE Trans. on Computers, vol. C-29, No. 6, pp. 442-451 (1980).
Saxena et al., "Accumulator compression testing," IEEE Trans. on Computers, vol. C-35, No. 4, pp. 317-321 (1986).
Saxena et al., "Analysis of checksums, extended-precision checksums, and cyclic redundancy," IEEE Trans. on Computers, vol. C-39, No. 7, pp. 969-975, 1990.
Saxena et al., "Extended precision checksums," Proc. FTCS, pp. 142-147 (1987).
Serra et al., "The analysis of one-dimensional linear cellular automata and their aliasing properties," IEEE Trans. CAD of Integrated Circuits and Systems, vol. CAD-9, No. 7, pp. 767-778 (1990).
Smith, "Measures of the effectiveness of fault signature analysis," IEEE Trans. on Computers, vol. C-29, No. 6, pp. 510-514 (1980).
Touba et al., "Altering a pseudo-random bit sequence for scan-based BIST," Proc. ITC, pp. 167-175 (1996).
Touba et al., "Transformed pseudo-random patterns for BIST," Proc. VLSI Test Symp., pp. 410-416 (1995).
Tsai et al., "STARBIST: Scan autocorrelated random pattern generation," Proc. DAC, pp. 472-477 (1997).
U.S. Appl. No. 09/619,985, filed Jul. 20, 2000, Rajski et al.
U.S. Appl. No. 09/619,988, filed Jul. 20, 2000, Rajski et al.
U.S. Appl. No. 09/620,021, filed Jul. 20, 2000, Rajski et al.
U.S. Appl. No. 09/620,023, filed Jul. 20, 2000, Rajski et al.
U.S. Appl. No. 09/713,662, filed Nov. 15, 2000, Rajski et al.
U.S. Appl. No. 09/713,664, filed Nov. 15, 2000, Rajski et al.
Venkataraman et al., "An Efficient BIST Scheme Based on Reseeding of Multiple Polynomial Linear Feedback Shift Registers," IEEE, pp. 572-577 (1993).
Waicukauski et al., "A method for generating weighted random test patterns," IBM J. Res. Develop., vol. 33, No. 2, pp. 149-161 (Mar. 1989).
Wang, "BIST Using Pseudorandom Test Vectors and Signature Analysis," IEEE Custom Integrated Circuits Conference, pp. 1611-1618 (1998).
Williams et al., "Bounds and analysis of aliasing errors in linear-feedback shift registers," IEEE Trans. CAD of Integrated Circuits and Systems, vol. CAD-7, No. 1, pp. 75-83 (1988).
Written Opinion from International Application No. PCT/US00/31378 (International Publication No. WO 01/38955).
Wu et al., "Scan-Based BIST Fault Diagnosis," IEEE Trans. CAD of Integrated Circuits and Systems, vol. 18, No. 2, pp. 203-211 (Feb. 1999).
Wunderlich et al., "Bit-flipping BIST," Proc. ICCAD, pp. 337-343 (1996).
Wunderlich, "Multiple distribution for biased random test patterns," Proc. ITC, pp. 236-244 (1988).
Wunderlich, "On computing optimized input probabilities for random tests," Proc. DAC, pp. 392-398 (1987).
Yamaguchi et al., "An efficient method for compressing test data," Proc. ITC, pp. 191-199 (1997).
Yarmolik et al., "Generation and Application of Pseudorandom Sequences for Random Testing," J. Wiley & Sons, New York (1988).
Zacharia et al., "Decompression of Test Data Using Variable Length Seed LFSRs," IEEE, pp. 426-433 (1995).
Zacharia et al., "Two-Dimensional Test Data Decompressor for Multiple Scan Designs," Proc. ITC, pp. 186-194 (1996).

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US10234506B2 (en) 1999-11-23 2019-03-19 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
CN106293611A (en) * 2015-06-03 2017-01-04 宜春市等比科技有限公司 A kind of pseudorandom number generation method for spread spectrum communication and channeling
TWI645679B (en) * 2015-12-17 2018-12-21 亞德諾半導體環球公司 Adaptive digital quantization noise cancellation filters for mash adcs

Also Published As

Publication number Publication date
EP1242859A1 (en) 2002-09-25
ATE457483T1 (en) 2010-02-15
US6708192B2 (en) 2004-03-16
EP1242859A4 (en) 2006-01-11
US20020016806A1 (en) 2002-02-07
JP2003515803A (en) 2003-05-07
JP3595537B2 (en) 2004-12-02
US20070294327A1 (en) 2007-12-20
US20030110193A1 (en) 2003-06-12
US20040172431A1 (en) 2004-09-02
DE60043827D1 (en) 2010-03-25
US6353842B1 (en) 2002-03-05
EP2144134B1 (en) 2012-05-02
WO2001038955A1 (en) 2001-05-31
ATE556369T1 (en) 2012-05-15
EP2144134A1 (en) 2010-01-13
US6539409B2 (en) 2003-03-25
US7260591B2 (en) 2007-08-21
EP1242859B1 (en) 2010-02-10

Similar Documents

Publication Publication Date Title
US8024387B2 (en) Method for synthesizing linear finite state machines
Rajski et al. Automated synthesis of phase shifters for built-in self-test applications
Mrugalski et al. Ring generators-new devices for embedded test applications
US3691472A (en) Arrangement for the generation of pulses appearing as pseudo-random numbers
US11716076B2 (en) Circuits and methods for performing hash algorithm
Rajski et al. Design of phase shifters for BIST applications
Mukherjee et al. Ring generator: An ultimate linear feedback shift register
US10673662B2 (en) Methods and circuits for generating parallel pseudorandom binary sequences
US8949299B2 (en) Method and apparatus for hybrid ring generator design
Rajski et al. Primitive polynomials over GF (2) of degree up to 660 with uniformly distributed coefficients
Mrugalski et al. High speed ring generators and compactors of test data [logic IC test]
JP2940517B2 (en) Nonlinear feedback shift register circuit
Garbolino et al. A new LFSR with D and T flip-flops as an effective test pattern generator for VLSI circuits
Mrugalski et al. High performance dense ring generators
Stroele Bit serial pattern generation and response compaction using arithmetic functions
US5668481A (en) Multiple pattern sequence generation based on inverting non-linear autonomous machine
Acevedo et al. LFSR characteristic polynomial and phase shifter computation for two-dimensional test set generation
Rawski B01: The novel approach to FSM synthesis targeted FPGA architectures
Sekhar et al. An Efficient Pseudo Random Number Generator for Cryptographic Applications
KR100421852B1 (en) apparatus for generating multiple PN chips
US8184034B2 (en) Code sequence generator
Mrugalski et al. Planar high performance ring generators
KR0174875B1 (en) Pseudonoise generator
Garbolino et al. Fast and low-area TPGs based on T-type flip-flops can be easily integrated to the scan path
Sony et al. Design and Analysis of Multi-Bit Linear Feedback Shift Register based PRNG with FPGA Implementation using different Primitive Polynomials

Legal Events

Date Code Title Description
AS Assignment

Owner name: MENTOR GRAPHICS CORPORATION, OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAJSKI, JANUSZ;TYSZER, JERZY;KASSAB, MARK;AND OTHERS;REEL/FRAME:023411/0228;SIGNING DATES FROM 20000720 TO 20001212

Owner name: MENTOR GRAPHICS CORPORATION, OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAJSKI, JANUSZ;TYSZER, JERZY;KASSAB, MARK;AND OTHERS;SIGNING DATES FROM 20000720 TO 20001212;REEL/FRAME:023411/0228

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

AS Assignment

Owner name: SIEMENS INDUSTRY SOFTWARE INC., TEXAS

Free format text: MERGER AND CHANGE OF NAME;ASSIGNORS:MENTOR GRAPHICS CORPORATION;SIEMENS INDUSTRY SOFTWARE INC.;REEL/FRAME:056702/0712

Effective date: 20201230

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12