US20090300590A1 - Statically speculative compilation and execution - Google Patents

Statically speculative compilation and execution Download PDF

Info

Publication number
US20090300590A1
US20090300590A1 US12/347,252 US34725208A US2009300590A1 US 20090300590 A1 US20090300590 A1 US 20090300590A1 US 34725208 A US34725208 A US 34725208A US 2009300590 A1 US2009300590 A1 US 2009300590A1
Authority
US
United States
Prior art keywords
cache
memory
information
speculative
static
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/347,252
Inventor
Csaba Andras Moritz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CSABA ANDRAS MORITZ PHD
III Holdings 2 LLC
Original Assignee
BlueRISC Inc a Massachusetts Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BlueRISC Inc a Massachusetts Corp filed Critical BlueRISC Inc a Massachusetts Corp
Priority to US12/347,252 priority Critical patent/US20090300590A1/en
Publication of US20090300590A1 publication Critical patent/US20090300590A1/en
Priority to US13/033,159 priority patent/US20110258416A1/en
Priority to US13/669,687 priority patent/US20130145132A1/en
Priority to US14/192,438 priority patent/US9235393B2/en
Assigned to BLUERISC, INC. reassignment BLUERISC, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MORITZ, CSABA ANDRAS
Assigned to CSABA ANDRAS MORITZ, PHD reassignment CSABA ANDRAS MORITZ, PHD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BLUERISC, INC.
Assigned to III HOLDINGS 2, LLC reassignment III HOLDINGS 2, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MORITZ, CSABA ANDRAS
Priority to US14/964,443 priority patent/US10101978B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • G06F8/443Optimisation
    • G06F8/4432Reducing the energy consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • This invention relates to power and energy consumption in computer systems.
  • the challenge is to reduce the energy consumed in processors without sacrificing performance, and with solutions that scale between processor generations.
  • the processor market is being driven to produce smaller and more powerful chips that do not drain significant amounts of power.
  • the aforementioned problems are addressed by the present invention.
  • the concepts introduced are broad and present chip-wide energy reduction optimization opportunities.
  • the particular embodiments described provide application adaptive and scalable solutions to energy-reduction in memory systems.
  • energy consumption is reduced by (1) extracting and exposing static information to control processor resources at runtime, (2) exploiting speculative static information in addition to predictable static information, and (3) adding compiler managed static and static-dynamic execution paths (i.e., architectural components), that can also be integrated into conventional mechanisms and that leverage this static information.
  • compiler managed static and static-dynamic execution paths i.e., architectural components
  • Speculative compiler analysis as an underlying compilation approach, reduces the complexity of otherwise highly sophisticated analysis techniques (e.g., flow-sensitive and context-sensitive alias analysis), and expands their scope to large and complex applications.
  • otherwise highly sophisticated analysis techniques e.g., flow-sensitive and context-sensitive alias analysis
  • the methods presented are based on a combined compiler-microarchitecture approach, and, more specifically, statically speculative compilation and execution, and provide a unified and scalable framework to reduce energy consumption adaptively, with minimal or no performance impact, or performance improvement for many important applications (e.g., image compression and video processing).
  • the invention can be used to save energy on any type of device that includes a processor.
  • the invention can be used to save energy on personal computers, devices containing embedded controllers, and hand-held devices, such as PalmPilots and cellular telephones.
  • the invention is a method, for use with a compiler architecture framework, which includes performing a statically speculative compilation process to extract and use speculative static information, encoding the speculative static information in an instruction set architecture of a processor, and executing a compiled computer program using the speculative static information. Executing supports static speculation driven mechanisms and controls.
  • This aspect may include one or more of the following features.
  • Executing may include controlling at least some processor resources using the speculative static information encoded in the instruction set architecture.
  • Executing may include operating processor-related mechanisms using the speculative static information encoded in the instruction set architecture.
  • Executing may include static, static-dynamic, and dynamic execution paths.
  • the speculative static information may include information about one or more of processor resource demands and information that contributes to determining processor resource demands.
  • the instruction set architecture may include at least one of modified and additional instructions to propagate information through code and to store the information.
  • the compilation process may expose speculative static information to run time layers, and the microarchitecture which performs the executing may provide a mechanism to recover in case of static misprediction.
  • the compilation process may extract the speculative static information and performs compilation using the speculative static information to reduce power consumption in the processor.
  • the speculative static information may include predictable static information and additional static information that is speculated based on the predictable static information.
  • Executing may be performed by microarchitecture that contains an extension.
  • the extension may support correctness of execution for performing the statically speculative compilation process.
  • the extension is comprised of hardware and/or software.
  • the compilation process may perform static speculation.
  • the static speculation determines information about execution of the computer program.
  • the static speculation may be controlled on an application-specific and adaptive basis and may be managed with compile-time flags.
  • the compilation process may determine processor performance and energy tradeoffs during compile-time and may use the tradeoffs during execution.
  • the compilation process may perform design objective customization without changing the microarchitecture.
  • the microarchitecture may perform the executing using the speculative static information and dynamic information during execution.
  • This aspect may be used in a silicon-based electronics system, a nano-electronics based electronic system, or any other appropriate system.
  • the invention is directed to a processor framework that includes a compiler which compiles a computer program, the compiler extracting speculative static information about the computer program during compilation, and a tagless cache architecture that is accessed based on the extracted speculative static information.
  • This aspect may include one or more of the following.
  • the speculative static information may be used to register promote cache pointer information.
  • the speculative static information may be used to select cache pointers at run time.
  • the processor framework may also include at least one of a scratchpad-memory based cache mechanism and an associative cache.
  • the compiler may select which of plural cache accesses are mapped to which cache mechanisms based on the speculative static information. Frequently used data with a low memory footprint may be mapped to the scratchpad-memory based cache mechanism. Associativity and block size in the tagless cache may be logical and programmable. The compiler may determine block sizes and associativity of a cache based on an analysis of the computer program.
  • the processor framework may include a memory area for storing a cache pointer.
  • the processor framework may include a Cache TLB (Translation Look-ahead Buffer) for capturing statically mispredicted cache pointers and other types of cache pointers.
  • the Cache TLB may include eight entries.
  • the processor framework may include a microarchitecture for use in accessing the tagless cache.
  • the microarchitecture may access the tagless cache using at least one of static, static-dynamic, and dynamic cache access paths.
  • FIG. 1 is a block diagram showing a Tag-less (tagless) Cache architecture, which is an example implementation of the microarchitecture described in the first embodiment.
  • FIG. 2 is a block diagram of cache organizations with address translation moved towards lower levels in the memory hierarchy
  • STLB is the translation buffer between L1 and L2 caches
  • MTLB is the translation buffer added between L2 cache and main memory.
  • FIG. 3 is a block diagram of a baseline memory system, where all accesses require address translation, multi-way cache access, and tag-checks.
  • FIG. 4 is a block diagram showing an example of implementation of the microarchitecture in the 2 nd embodiment.
  • FIG. 5 is a flow diagram of an embodiment of the compilation process.
  • FIG. 6 is a diagram for alternative pointer representations: (a) program-point representation, (b) through global information.
  • FIG. 7 is a diagram representing CFG and PTG graphs derived for a simple C program.
  • FIG. 8 is a diagram representing a simple loop-based example analyzed with traditional flow-sensitive AA (top) and the SAA method (bottom), that shows that SAA achieves higher precision by removing all weak point-to relations after each merging-step, where the weak point-to relations are shown with dotted arrows.
  • FIG. 9 is a diagram showing the accuracy of static speculation for one set of parameters suing the industry standard CPU2000 and Mediabench benchmarks.
  • FIG. 10 is a diagram showing chip-wide energy reduction due to reduction in memory consumption obtained with the microarchitecture in the second embodiment as compared to an Alpha 21264 processor.
  • FIG. 11 is a list of programs evaluated with the embodiments described herein.
  • the problem of energy reduction without performance impact is addressed by the present invention.
  • Power and energy consumption are reduced by methods incorporated at compile-time and at runtime, in both hardware and software layers.
  • the methods include compiler level, instruction set architecture (ISA), and micro-architectural components/techniques.
  • a compiler is software (i.e., machine executable instructions stored in a memory system) that translates applications from high-level programming languages (e.g., C, C++, Java) into machine specific sequences of instructions.
  • the ISA is a set of rules that defines the encoding of operations into machine specific instructions.
  • a program is a collection of machine level instructions that are executed to perform the desired functionality.
  • Micro-architectural (or architectural) components refer to hardware and/or software techniques that are used during execution of the program.
  • the actual machine can be a microprocessor or any other device that is capable of executing instructions that conform to the encoding defined in the ISA.
  • a memory area can be any area that can store bits, e.g., registers, cache, and some type Random Access Memory (RAM).
  • Compile-time refers to the time during which the program is translated from a high level programming language into a machine specific stream of instructions, and it is not part of the execution or runtime. Runtime is the time it takes to execute the translated machine instructions on the machine. Machine energy in the targeted apparatus is only consumed during runtime. Compilation is typically done on a different host machine.
  • Information in the context of this invention refers to either information collected during compilation or during execution. Information collected during compilation is called static or compile time information. Information collected during runtime is called runtime or dynamic information. Program analysis refers to the process during compile time that analyzes the program and extracts static information. Program transformation/optimization is the process during compile time that modifies the program typically to achieve some objective such as improve performance.
  • Static information is defined to be predictable if it can be shown during compilation that the information is true for any possible input set applied to the program, or for any possible execution of the program on the machine in question.
  • Static information is defined to be speculative if the information extracted during compile time is not shown or cannot be shown to be true for all possible execution instances.
  • the available (i.e., extractable) speculative static information is a superset of the available predictable static information in a program.
  • An energy optimization is called dynamic if it uses dynamic information. It is called static if it uses static information.
  • the methods described herein address opportunities that appear at the boundary between compile-time and runtime layers in computer systems, in addition to techniques that can be isolated to be part of either compile-time or runtime components.
  • the methods combine architecture and compiler techniques into a compiler-enabled, tightly integrated, compiler-architecture based system design.
  • the approach is called compiler-enabled if the execution of specific instructions is managed to some extent by static information.
  • the methods leverage static program information in smart ways, and expose static resource utilization information for a particular application, to runtime layers.
  • the apparatus extracts and leverages this information in a speculative manner, in both compiler and architecture components, i.e., in the new methods a superset of the predictable program information can be used.
  • the methods implement compiler analysis and micro-architectural techniques that enable the extraction and utilization of speculative static information without affecting correctness of execution.
  • the methods also enable various degrees of static speculation (i.e., the extent to which information extracted is expected to be true during execution), to control the accuracy of static speculation.
  • Static speculation can be controlled on an application specific/adaptive basis and managed with compile-time flags. This provides unique post-fabrication (compile-time) customization of design objectives, as the type of information extracted and leveraged can be used to control tradeoffs between various design objectives such as power, performance, and predictability, without requiring changes in the architecture.
  • static speculation based approach is or can be combined with dynamic techniques, in a solution that leverages both statically predictable, statically speculative, and dynamic information.
  • the new methods extract speculative static information. Such information, that is likely to be true for the typical execution instance, provides a larger scope for optimizations. The information is leveraged speculatively and supported with micro-architectural techniques to provide correctness of execution.
  • the methods In addition to enabling extraction of more program information, the methods also increase the flow of information between compile-time and runtime layers/optimizations, by exposing the speculative static information to runtime layers.
  • the methods encode statically extracted information about predicted resource utilization into the Instruction Set Architecture (ISA), so that this information can be leveraged at runtime. This approach enables a more energy-efficient execution if used together with micro-architectural components.
  • ISA Instruction Set Architecture
  • the methods can be used to reduce power and energy consumption in both embedded and general-purpose systems. Furthermore, the methods are applicable to a wide-range of computer systems, both state-of-the-art and emerging, which build on ISA interfaces between hardware and compilation layers.
  • the methods are independent from device level technology, and can be used to reduce energy consumption in both silicon based (e.g., CMOS) and emerging nano electronics based (e.g., carbon nano tubes, nano wires, quantum dots) systems.
  • the presented embodiment relates to the cache and memory system mechanisms. Nevertheless, other embodiments, on the same principles of statically speculative execution and compilation, can be constructed.
  • the cache is a fast memory hierarchy layer, typically smaller in size than the physical address space. It is one of the cornerstones of computer systems, used to hide the latency of main memory accessing. This is especially important, due to the increasing gap between execution speeds and memory latency. While execution speeds are known to double every 18 months (Moore's law), memory latencies are improving at a much lower rate. With the increasing cache sizes, necessary to hide memory latencies, the energy impact of cache accesses becomes even more significant in future generation designs.
  • Every instruction is fetched from the memory hierarchy.
  • Approximately 20-25% of the program instructions are data memory accesses that are fetched from a layer in the (data) memory hierarchy.
  • memory accessing (instructions and data related) accounts for a large fraction of the total processor energy.
  • Conventional caches consist of a tag memory and a data-array.
  • the data array is where the actual information is stored.
  • the tag memory is storing additional information related to blocks of data (also called cache blocks or cache lines) in the data-array.
  • the tag information can be imagined as a label that identifies a block of data in the cache. Every memory access has this kind of label associated, as part of its address.
  • the tag extracted from the address is compared with labels in the tag-memory, during a memory access, to identify and validate the location of a data block in the data-array.
  • the access results in a cache-hit and can be satisfied from the cache data-array. If there is no tag in the tag-memory that matches the current tag then the access is a cache-miss (at this level at least) and the memory access needs to be resolved from the next layer in the memory hierarchy.
  • associative caches multiple ways (i.e., alternative locations) are looked up in both tag memory and data-array.
  • the broader memory system may include additional mechanisms such as address translation, Translation Lookahead Buffer (TLB), virtualization, protection, and various layers and organizations of memory.
  • Address translation is the mechanism of mapping logical addresses into physical addresses. Logical addresses are typically the addresses that appear on the address pins of the processor, while the physical addresses are those that are used on the actual memory chips.
  • Virtualization is the mechanism that enables a program compiled to run on machines with different memory system organizations. Protection is a mechanism that guarantees that memory accesses are protected against writing into unauthorized memory areas.
  • the main components in the methods to reduce energy consumption in the memory system are: (1) compiler techniques to extract/leverage static information about memory accessing and data-flow, (2) tag-less and way-predictive compiler-enabled cache architecture based on speculative memory accessing, (3) methodology to interface and integrate the new methods into conventional memory hierarchies and combine static and dynamic optimizations, and (4) ISA extensions to expose memory accessing information.
  • the first embodiment is a Tag-less cache that can be integrated with other performance and energy reduction mechanisms. This scheme is especially attractive in embedded processors due to its low-cost, high-performance, low-power consumption as well as adaptivity to different application domains.
  • the second implementation is an embodiment in multi-level memory hierarchies. It shows how the method of statically speculative execution and compilation can be integrated in multi-level memory hierarchies. It provides the necessary compilation and architecture techniques for such integration. The methods are applicable, but not restricted to, both embedded and general-purpose domains.
  • This section describes an energy-efficient compiler-managed caching architecture, that has no tag memory and utilizes speculative static information.
  • the architecture is shown in FIG. 1 .
  • Hotlines Register File 3 Cache TLB (Translation Lookahead Buffer) 6 , Hotlines Check 5 , SRAM Memory 18 , Scratchpad Memory 19 , and Software (SW) Handier 15 .
  • the arrows represent signals or flow in execution that are required for explanation: Virtual Line 1 , Hotline Index 2 , Result of Cache TLB lookup 10 , Cache TLB Miss signal 12 , Hotline Register Hit/Miss result 5 , Hotline Miss 7 , Hotline Hit 8 , Address from cache TLB 9 , Cache TLB Hit 11 , Software Handler Cache Hit 13 , Address 16 , Enable to Scratchpad 17 , Software Handler Detected Cache Miss 14 , Data output from SRAM 20 , and Data output from scratchpad 21 .
  • the scratchpad access mechanism consumes very low power due to its small size (a 1 Kbytes memory is used, but this can be a banked memory where the actual use is application specific controlled by the compiler). All accesses directed to the scratchpad 17 are then output on 15 , being enabled by signal 12 decoded from the memory instruction.
  • the memory instructions that are using the hotline path carry a hotline index 2 that has been determined at compile time. This identifies the hotline register from register file 3 , predicted by the compiler to contain the address translation for the current memory access. Using this index 2 , the corresponding hotline register is read from the hotline register file 3 .
  • a hotline register file is similar to a general purpose register file, but contains register promoted cache pointers instead of operands. In addition to the statically indexed mode, an associative lookup can also be implemented to speed up access during replacement.
  • the hotline register contains the virtual cache line address to SRAM line address 16 mapping. If the memory reference has the same virtual line address as that contained in the hotline register during the Hotlines Check 5 (i.e., correctly predicted speculative static information), there is a Hotline hit 8 . Upon a correct static prediction, the SRAM can be accessed through the SRAM address 16 ; this address is from the hotline register that is combined with the offset part of the actual address, and the memory access is satisfied. The offset is the part of the address used to identify the word within a cache line.
  • the cache TLB 6 is checked for the translation information.
  • a Cache TLB miss 12 invokes a compiler generated software handler 15 to perform the address translation. This handler checks the tag-directory (which itself can be stored in a non-mapped portion of the memory) to check if it is a cache miss 14 .
  • a line is selected for replacement and the required line is brought into its place—pretty much what happens in a hardware cache, but handled by software here.
  • the cache TLB 6 and the hotline register 3 are updated with the new translation, and the memory access is satisfied by accessing the SRAM memory 18 and outputting the data on 20 .
  • This cache can, in fact, even surpass a regular hardware cache in terms of performance. For one, the interference between memory accesses mapped to different paths has been eliminated resulting in better hit-rate, and better cache utilization.
  • a high associativity is basically emulated, without the disadvantage of the added access latency in regular associative caches, where higher associativity increases cache access times. Since the SRAM access mechanism is much less complicated than a regular tagged hardware cache, there is a possibility of reduction in cycle time.
  • both the hotline path (i.e., 2 , 3 , 5 , 7 ) and the scratchpad path (i.e., 17 , 19 , 21 ) will have a smaller hit latency than in a conventional cache. This latency (in conventional caches) would be even larger if runtime information is used to predict way accesses.
  • an optimal line size can be chosen on a per application basis, as the line here is not fixed but it is based on a compiler determined (logical) mapping.
  • This cache architecture combines four cache control techniques: (1) fully static through 19 , (2) statically speculative through 2 , 3 , (3) hardware supported dynamic 6 , and (4) software supported dynamic through the software handler 15 .
  • FIG. 1 shows this partitioning with the dotted line. To the left the architectural mechanisms implement dynamic control, to the right, static control.
  • the fully static cache management is based on disambiguation between accesses with small memory footprints such as the scalars and other memory accesses. Furthermore, frequently accessed memory references that have a small footprint can be mapped into the scratchpad area. This architecture can also be used without the scratchpad memory, by having all memory accesses mapped either through the statically speculative techniques or some other path.
  • the second technique in this architecture is based on a compile time speculative approach to eliminate tag-lookup and multiple cache way access.
  • some of the cache logic found in associative caches can also be eliminated. The idea is that if a large percentage of cache accesses can be predicted statically, it is possible to eliminate the tag-array and the cache logic found in associative caches, and thus reduce power consumption.
  • the accesses that are directly mapped to the scratchpad memory require no additional runtime overhead.
  • the statically speculative accesses however, if managed explicitly in the compiler, use virtual to SRAM address mappings or translations at runtime. This mapping is basically a translation of virtual cache line block addresses into SRAM cache lines, based on the line sizes assumed in the compiler.
  • the partitioning of the SRAM into lines is only logical, the SRAM is mainly accessed at the word level, except for during fills associated with cache misses. Inserting a sequence of compiler-generated instructions, at the expense of added software overhead, can do this translation. For many applications there is a lot of reuse of these address mappings.
  • the compiler can speculatively register-promote the most recent translations into a small new register area—the hotline register file. With special memory instructions, or other type of encoding of this information, the runtime overhead of speculation checking can be completely eliminated. Nevertheless, in simple designs a software based check that can be implemented in four regular instructions is also possible.
  • a small fully associative Cache TLB 6 is used to cache address mappings for memory accesses that are miss-predicted.
  • a 16-entry Cache TLB 6 is enough to catch most of the address translations that are not predicted correctly statically. Different application domains may work fine with a smaller or require a slightly larger size for optimum energy savings.
  • the fourth technique used in this architecture is basically a fully reconfigurable software cache 15 .
  • This technique is a backup solution, and it can implement a highly associative mapping.
  • This implementation is for example based on a four-way associative cache.
  • the mapping table between virtual cache lines 1 and physical SRAM lines 16 can be implemented similar to an inverted page table or other schemes.
  • this cache has substantial gains compared to a conventional hardware cache for two reasons. First, there are no tag-lookups on scalar accesses and correctly predicted non-scalar accesses. Second, the SRAM is used as a simple addressable memory—the complicated access mechanisms of a regular cache consume more power and increase the memory access latency (e.g., the hit-latency).
  • FIG. 3 shows the memory access process.
  • the translation function translates the larger program address 100 into a cache block address shown as part of 110 (the lower order block offset bits in 100 do not undergo any translation).
  • this translation can be very expensive, both energy-wise (for example, on a virtual memory system with a 4-way cache, the virtual address 100 will be converted to physical address by the TLB 105 , and all the 4 tag and data arrays 112 , 113 , 114 , 115 would be looked up in parallel), and performance-wise (if the cache is software managed, doing the translation in software will consume valuable CPU cycles).
  • the translation information 109 in case of a TLB hit 108 is added with the offset to form address 110 that is used to access the cache.
  • the aforementioned redundancies are tackled using a cooperative compiler-architecture approach. Specifically, compiler analysis techniques that identify accesses likely to map to the same cache line are developed. These accesses can avoid repeated translation to save energy. The compiler in the proposed method speculatively register promotes the translations for such groups of accesses.
  • registers that contain address translation information are provided as a form of architectural support.
  • the architecture is responsible for verifying static speculations: if correctly predicted by the compiler, the expensive translation is eliminated. On mispredictions, the architecture can update the registers with new information. Further, the level of speculation in the compiler can be varied to better match application behavior. Henceforth, the solution proposed is referred to as the microarchitecture in 2 nd embodiment.
  • a translation buffer is a cache for page level address translations and is used to avoid the more expensive page table lookup in virtual memory systems.
  • a translation buffer (MTLB) is added after the L2 cache and is accessed for every L2 cache miss.
  • MTLB translation buffer
  • This serves better the energy optimization objectives than a TLB-less design, where address translation is implemented in software. Nevertheless, if increased flexibility is desired, in the way paging is implemented in the operating system, the TLB-less design is a reasonable option (experimental results prove this point).
  • STLB translation buffer
  • FIG. 2 An overview of the different cache organizations with address translation moved towards lower levels in the cache hierarchy is shown in FIG. 2 .
  • address translation consumes a significant fraction of the energy consumed in the memory system
  • both the v-v and v-r designs will save energy compared to a physical-physical (r-r) cache hierarchy, where virtual-to-physical address translation is done for every memory access.
  • a context-switch between threads belonging to different tasks may require change in virtual address mappings.
  • address-space identifiers to TLB entries are added. Note that not having the address-space identifiers not only would require flushing all the TLB entries, but would also imply that the newly scheduled thread, once it starts executing, will experience a number of TLB misses until its working set is mapped.
  • FIG. 4 presents an overview of the subject architecture in the 2 nd embodiment memory system, with integrated static 200 and dynamic 201 access paths.
  • the subject architecture in the 2nd embodiment extends associative cache lookup mechanism 215 , 216 , 217 , 218 , with simpler, direct addressing modes 213 , in a virtually tagged and indexed cache organization.
  • This direct addressing mechanism 213 eliminates the associative tag-checks (i.e., no tag-lookup as shown in 215 , 216 , 217 , 218 is required) and data-array accesses (i.e., only one of the data-arrays from 215 , 216 , 217 , 218 is accessed).
  • the compiler-managed speculative direct addressing mechanism uses the hotline registers 208 .
  • Static mispredictions are directed to the CAM based Tag-Cache 210 , a structure storing cache line addresses for the most recently accessed cache lines.
  • Tag-Cache hits also directly address the cache, and the conventional associative lookup mechanism is used only on Tag-Cache misses.
  • Integration of protection-checks along all cache access paths ( 208 , 210 and conventional) enables moving address translation to lower levels in the memory hierarchy, as described earlier, or TLB-less operation. In case of TLB-less designs, an L2 cache miss requires virtual-to-physical address translation for accessing the main memory; a software virtual memory exception handler can do the needful.
  • the subject architecture in the 2nd embodiment employs virtually addressed caches, and integrates support for protection checks, otherwise performed by the TLB, along all access mechanisms. That is, the subject architecture in the 2nd embodiment has embedded protection checks in the Hotline registers 208 , the Tag-Cache 210 , and cache tags (shown as part of 215 , 216 , 217 , 218 ). The subject architecture in the 2 nd embodiment therefore could completely dispense with the TLB.
  • L2 cache misses in the v-v organization require address translation for the main memory access.
  • the subject architecture in the 2nd embodiment uses translation buffer to speed up this address translation, but a software VM exception handler for doing the translation on L2 cache misses and fetching the data from the main memory can also be used.
  • statically speculative, compiler managed memory accessing can also be integrated in other type of memory hierarchies.
  • the conventional associative lookup approach 4 parallel tag-checks and data-array accesses (in a 4-way cache). Depending on the matching tag, one of the 4 cache lines is selected and the rest discarded. Now for sequences of accesses mapping to the same cache line, the conventional mechanism is highly redundant: the same cache line and tag match on each access.
  • the subject architecture in the 2nd embodiment reduces this redundancy by identifying at compile-time, accesses likely to lie in the same cache line, and mapping them speculatively through one of the hotline registers 208 .
  • the condition that the hotline path evaluates can be done very efficiently without carry propagation.
  • the hotline cache access can also he started in parallel with the check, with the consequence that in case of incorrect prediction some additional power is consumed in the data-array decoder.
  • the primary source of latency for hotline based accesses is due to the data array access and the delay through the sense amps.
  • conventional associative cache designs use an additional multiplexer stage to select between ways in a multi-way access (i.e., the correct block from the ways 215 , 216 , 217 , 218 ).
  • the critical path is typically the tag-path; the tag latency can be as much as 30% larger than the latency of the data-array path in the conventional design.
  • the way-selection logic is moved towards the tag to rebalance the delay differences between the tag and data-array paths.
  • the latency of the data-array could be the main target for optimizations, as the tag path is not on the critical path for most of the memory accesses, by adequate bitline and wordline partitioning.
  • the subject architecture in the 2nd embodiment based microprocessor could either have a faster clock or at least a faster cache access for statically predicted cache accesses.
  • a simple run-time comparison 211 reveals if the static prediction is correct.
  • the cache is directly accessed on correct predictions 213 , and the hotline register 208 updated with the new information on mispredictions.
  • a fully associative lookup on the hotline registers to support invalidations is included.
  • a hotline register 208 has 3 components: (1) protection bits (ASID), which are used to enforce address space protection, (2) TagIndex—two accesses are to the same cache line if their Tag and Index components are the same. The TagIndex component is compared with Tag and Index of the actual access to check if the hotline register can indeed be used to directly address the cache, (3) cache-way information—this information enables direct access to one of the ways in the set-associative cache.
  • ASID protection bits
  • TagIndex two accesses are to the same cache line if their Tag and Index components are the same.
  • the TagIndex component is compared with Tag and Index of the actual access to check if the hotline register can indeed be used to directly address the cache
  • cache-way information this information enables direct access to one of the ways in the set-associative cache.
  • Tag-Cache 210 Another energy-efficient cache access path in the subject architecture in the 2nd embodiment is the Tag-Cache 210 . It is used both for static mispredictions (hotline misses 212 ) and accesses not mapped through the hotline registers, i.e., dynamic accesses 201 . Hence it serves the dual-role of complementing the compiler-mapped static accesses by storing cache-line addresses recently replaced from the hotline registers, and also saving cache energy for dynamic accesses; the cache is directly accessed on Tag-Cache hits 211 , 213 .
  • a miss in the Tag-Cache 210 implies that associative lookup mechanism is used with an additional cycle performance overhead.
  • the Tag-Cache is also updated with the new information on misses, in for example LRU fashion.
  • each Tag-Cache 210 entry is exactly the same as a hotline register 208 , and performs the same functions, but dynamically.
  • the subject architecture in the 2nd embodiment uses an associative cache lookup that is different from the conventional lookup in that the protection information (ASID) is also tagged to each cache line. Even the virtually addressed L2 cache is tagged with protection information in the v-v design to enable TLB-less L2 access. This increases the area occupied by the tag-arrays, and also its power consumption. Compared to the overall cache area and energy consumption, this increase is however negligible.
  • ASID protection information
  • memory operations 200 that encode the hotline register index should be provided. This index is filled in during compile time based on the techniques described in the compiler section.
  • the implementation should perform a simple check 211 between the content of the hotline register identified and the actual virtual block address, as shown in FIG. 4 . Special instructions, rather than modifications to existing can also be provided for example. Alternatively, techniques requiring no ISA modifications could also be used, as shown in the section.
  • the invention is not limited to type of encodings described herein.
  • Static information about the hotline registers 208 accessed could be provided by generating code that writes this into predetermined memory locations, e.g., into a stream-buffer.
  • This buffer can be used to add the index at runtime to memory accesses in the critical path. For example, memory accesses that are identified in critical loops could use the index information from this buffer during the Instruction Decode stage to access the hotline registers.
  • the invention is not limited to type of encodings described herein.
  • FIG. 5 shows a high-level picture of the stages involved in an embodiment for compilation.
  • the implementation is using the SUIF format.
  • the invention is not limited to this format or to the compilation embodiment presented.
  • the program sources are first converted to the intermediate format 301 and high-level optimizations are performed 306 . Following that is the Alias Analysis stage, or equivalent, and the Hotlines passes 302 .
  • Alias information enables the Hotline Analysis to more economically assign hotlines to references (i.e., map cache pointers to registers).
  • the compiler would liberally assign each memory reference a new hotline number. This will have a downgrading effect only if the number of references within inner loop bodies is more than the number of hotlines, resulting in the same hotlines being assigned to possibly spatially far apart references. This would cause interference and result in lower prediction rates.
  • Code is generated based on the information extracted in 303 . Optimizations are performed on the high-level representation 305 (e.g., based on expression trees) and low-level representation 306 (e.g., flat instruction sequences). Finally the generated code is run through an assembler 304 and results in a binary.
  • the Section “Hotlines With Speculative Alias analysis shows a speculative data-flow analysis technique that further improves on the precision the range of location sets is determined and extends its scope to large and complex applications. Additional passes include code generation 303 that takes into consideration the results of the analysis above, and then assembling the code 305 into a binary format.
  • Caches represent a large fraction of processor power consumption. Given accesses, a speculative analysis to predict which cache line is being accessed is used. Although it is impossible do this with perfect accuracy, the methods described herein provide an approach with good overall accuracy. Moreover, as pointed out above, it is not necessary for predictions to he perfect, rather, they should be right sufficiently often that one can make beneficial use of them.
  • This process assigns each variable name a different hotline register starting with the first register. When all the registers have been used up, it wraps around back to the first register.
  • the following example illustrates this process:
  • the variables have been assigned three hotline registers.
  • the hotlines process predicts that all the a[ ] accesses for example, will map to the same cache line and register promotes the cache pointer in register 1 .
  • a[ ] is a word-sized array and the cache line is 8 words wide
  • a[0] and a[7] could map to one cache line, a[8] through a[15] to another, and so on.
  • the process has seven correct predictions for every misprediction.
  • the if-statement assigns either the array a or b to the pointer p. This means that inside the loop, p could be accessing either array a or b.
  • a context- and flow-sensitive compiler would extract this information: the location sets of pointers at various points in the program. As mentioned earlier, this can help in a more efficient hotline process: perform alias analysis and then during the hotlines phase, utilize alias information to better handle pointer-based accesses.
  • This process hotlines all accesses like the basic hotline process, but is more refined. If an array a[ ] has been mapped through register r 1 , it won't necessarily be mapped through register 1 again. Instead the process will try to calculate the spatial distance of this access to the previous one. Only if they are sufficiently close will they be mapped through the same register.
  • the array element-size is 4 bytes
  • the cache line is 64 bytes, and that two accesses are mapped to the same register if they are within 32 bytes from each other.
  • the hotlines process first assigns a[i] hotline register r 1 .
  • a[i+1] checks the distance from currently mapped accesses, and finds the closest one to be a[i] which is 4 bytes apart. Since this is within the threshold, a[i+1] is also mapped through r 1 .
  • the closest access a[i+1] is 396 bytes apart, and hence a[i+100] is mapped through a different hotline.
  • the array accesses b[ ] is assigned register r 3 and so on.
  • the hotlines process uses control-flow, loop structure, dependence and type information: field offsets in structures, array element sizes, etc.
  • This process can be made to vary in its level of aggressiveness.
  • a very aggressive version would carry out actions based on predictions which do not necessarily have a high degree of confidence.
  • a conservative version may not do so, for instance, it would not hotline non-affine array accesses of the form a[b[i]] which are generally hard to predict.
  • Different versions of this process with different levels of aggressiveness can be constructed. The invention is not limited to one particular implementation.
  • This analysis is part of the embodiment presented for the compilation process.
  • the objective of this analysis is to extract precise information about memory access patterns in pointer based accesses.
  • the proposed technique is speculative in the sense that the possible values for each pointer access are determined and included based on their likelihood of occurrence at runtime. Unlikely values are ignored and highly likely values are added, even when the full proof cannot be derived at compile-time.
  • SAA speculative alias analysis
  • the information given by this analysis can be used in the hotlines processes, e.g., to determine which cache pointer (or hotline register) to assign to a given pointer based memory access. Additionally, the same information can be used in disambiguating pointer based loop-carried dependencies, to estimate loop level parallelism in addition to ILP.
  • FIG. 6 shows a simple C program and illustrates the difference between these representations.
  • Program point information for example would show that at the end of the program segment in FIG. 6 , pointer p points to ⁇ y,z ⁇ , a more precise information, compared with the global information case where p points to ⁇ x,y,z ⁇ . Although global information can be extracted with much more efficient analysis process, it gives less precise results.
  • alias analysis is done at either the intra-procedural level or at the inter-procedural level. The latter considers analysis across call statements, attempts to handle recursive, and pointer-based calls.
  • Flow-sensitive analysis takes control flow into account usually giving program-point results.
  • Flow-insensitive analysis views a program as a set of statements that can be executed in any order and gives per program or global results.
  • Flow-insensitive processes can be built on top of a type-based analysis or constrained-based analysis. Because of the higher precision of flow-sensitive approaches are of more interest in these techniques. Flow-sensitive approaches are typically based on traditional dataflow analysis, where pointer information is represented with points-to graphs (PTG). The speculative approach defined in the SAA process could be applied to any type of alias analysis.
  • Nodes in a PTG correspond to program variables and edges represent points-to relations.
  • a points-to relation connects two variables and means that a pointer variable can take the value of another variable during execution. Intuitively, a smaller number of points-to relations means better precision.
  • the main steps in a non-speculative flow-sensitive analysis process are as follows: (1) build a control-flow graph (CFG) of the computation, (2) analyze each basic block in the CFG gradually building a PTG, (3) at the beginning of each basic block merge information from previous basic blocks, (4) repeat steps 2-3 until the PTG graph does not change. See for example in FIG. 7 , the CFG and the PTG for a simple C application.
  • CFG control-flow graph
  • a point-to relation is defined to be a weak points-to relation if the edge is less likely to be leveraged during execution compared to other points-to relations from the same pointer variable.
  • FIG. 8 exemplifies the flow-sensitive embodiment of the SAA process in loops, for the simple case when point-to relations are mapped to either weak or strong ones.
  • One of the process's rules is that the incoming location sets are the weak point-to relations, and are removed if there is any strong point-to relation for the same access within the loop body.
  • a generalization of this process, for nested loops, is to consider loop nests organized in pairs, with inner loop updates being strong and incoming edges weak, and so on.
  • FIG. 8 shows that a great deal of precision has been obtained by removing several edges in the PTG. For example, both pointer p and q has been determined to point to only variable c after only three iterations in the process.
  • the complexity of the SAA process is reduced compared to traditional alias analysis process.
  • One possible implementation is by stopping the dataflow analysis after a certain number of iterations. Other implementations are also possible.
  • the main complexity in non-speculative alias analysis is coming from dealing with loops, recursive calls, multithreaded analysis, and library calls in an inter-procedural analysis.
  • the analysis in the SAA process applies an approximate approach and stops the dataflow analysis before full convergence is reached in such cases. Library calls that may modify pointer values and for which source codes are not available can also be speculatively estimated or ignored.
  • An example of implementation of the SAA process is as follows: (1) build a control-flow graph (CFG) of the computation, (2) analyze each basic block in the CFG gradually building a points-to graph (PTG), (3) at the beginning of each basic block merge information from previous basic blocks, (4) annotate weak and strong point-to relations focusing on loops by looking at incoming point-to relations and point-to relations in loop bodies, (5) speculatively estimate recursive calls and library calls, (6) repeat steps 2-5 until the PTG graph does not change or until a predetermined number of steps in the analysis have been reached.
  • CFG control-flow graph
  • PTG points-to graph
  • FIG. 9 A small sampling of data giving a preview of the accuracy of static speculation obtained with this implementation is presented in FIG. 9 . As shown, both memory accessing and instructions executed per cycle could be predicted statically with good accuracy. Better prediction translates into the possibility of saving more energy.
  • FIG. 10 shows the breakdown of processor-wide energy savings obtained due to significantly reduced energy consumed in the memory system. It shows that up to 75% of the energy consumed in memory accessing can be saved. This translates into up to 21% total energy reduction in an Alpha 21264 type of processor. A description of some the benchmarks evaluated, but not limited to, is presented in FIG. 11 .
  • the invention is not limited to, but can also be used to improve performance in processors. Reduction of access latencies in caches, for example, in the embodiments shown, can improve memory accessing performance. Alternatively, it can enable faster clock rates that would reduce execution time, or would enable using larger caches that would improve memory performance. Other performance benefits can result from, but not limited to, more efficient execution.

Abstract

A system, for use with a compiler architecture framework, includes performing a statically speculative compilation process to extract and use speculative static information, encoding the speculative static information in an instruction set architecture of a processor, and executing a compiled computer program using the speculative static information, wherein executing supports static speculation driven mechanisms and controls.

Description

    FIELD OF THE INVENTION
  • This invention relates to power and energy consumption in computer systems.
  • BACKGROUND OF THE INVENTION
  • Power/energy consumption has increased significantly with every chip generation. With the reduced transistor sizes in modern processors, the per area power density is approaching that of a nuclear reactor. Consequently, power reduction has become a design goal, with power saving features widely recognized as representing the next phase in the advancement of microprocessors. Portability and reliability requirements of emerging applications further underline this trend.
  • Major processor vendors realize that they must compete in terms of the power consumption of their chips as well as chip speed. Typical approaches to reduce power consumption (e.g., by reducing supply voltage and/or clock rate) negatively impact performance. Other approaches do not scale between design generations (e.g., as clock rates increase, due to changed critical paths, the value of many circuit or microarchitecture based energy reduction approaches is reduced).
  • The challenge is to reduce the energy consumed in processors without sacrificing performance, and with solutions that scale between processor generations. With increased Internet usage and growing desire for wireless communications, the processor market is being driven to produce smaller and more powerful chips that do not drain significant amounts of power.
  • SUMMARY OF THE INVENTION
  • The aforementioned problems are addressed by the present invention. The concepts introduced are broad and present chip-wide energy reduction optimization opportunities. The particular embodiments described provide application adaptive and scalable solutions to energy-reduction in memory systems.
  • A wide-range of compiler and microarchitectural techniques are presented, that improve the energy efficiency of processors significantly, without affecting performance (in many cases performance can be improved). The scope of the invention includes, but is not limited to, both embedded as well as general-purpose processor designs.
  • In the methods described, energy consumption is reduced by (1) extracting and exposing static information to control processor resources at runtime, (2) exploiting speculative static information in addition to predictable static information, and (3) adding compiler managed static and static-dynamic execution paths (i.e., architectural components), that can also be integrated into conventional mechanisms and that leverage this static information.
  • Speculative compiler analysis, as an underlying compilation approach, reduces the complexity of otherwise highly sophisticated analysis techniques (e.g., flow-sensitive and context-sensitive alias analysis), and expands their scope to large and complex applications.
  • The methods presented are based on a combined compiler-microarchitecture approach, and, more specifically, statically speculative compilation and execution, and provide a unified and scalable framework to reduce energy consumption adaptively, with minimal or no performance impact, or performance improvement for many important applications (e.g., image compression and video processing).
  • The invention can be used to save energy on any type of device that includes a processor. For example, the invention can be used to save energy on personal computers, devices containing embedded controllers, and hand-held devices, such as PalmPilots and cellular telephones.
  • In general, in one aspect, the invention is a method, for use with a compiler architecture framework, which includes performing a statically speculative compilation process to extract and use speculative static information, encoding the speculative static information in an instruction set architecture of a processor, and executing a compiled computer program using the speculative static information. Executing supports static speculation driven mechanisms and controls. This aspect may include one or more of the following features.
  • Executing may include controlling at least some processor resources using the speculative static information encoded in the instruction set architecture. Executing may include operating processor-related mechanisms using the speculative static information encoded in the instruction set architecture. Executing may include static, static-dynamic, and dynamic execution paths. The speculative static information may include information about one or more of processor resource demands and information that contributes to determining processor resource demands.
  • The instruction set architecture may include at least one of modified and additional instructions to propagate information through code and to store the information. The compilation process may expose speculative static information to run time layers, and the microarchitecture which performs the executing may provide a mechanism to recover in case of static misprediction. The compilation process may extract the speculative static information and performs compilation using the speculative static information to reduce power consumption in the processor. The speculative static information may include predictable static information and additional static information that is speculated based on the predictable static information.
  • Executing may be performed by microarchitecture that contains an extension. The extension may support correctness of execution for performing the statically speculative compilation process. The extension is comprised of hardware and/or software.
  • The compilation process may perform static speculation. The static speculation determines information about execution of the computer program. The static speculation may be controlled on an application-specific and adaptive basis and may be managed with compile-time flags. The compilation process may determine processor performance and energy tradeoffs during compile-time and may use the tradeoffs during execution. The compilation process may perform design objective customization without changing the microarchitecture.
  • More information about processor resource usage is exposed with speculative static compilation than with predictable static information. The microarchitecture may perform the executing using the speculative static information and dynamic information during execution.
  • This aspect may be used in a silicon-based electronics system, a nano-electronics based electronic system, or any other appropriate system.
  • In general, in another aspect, the invention is directed to a processor framework that includes a compiler which compiles a computer program, the compiler extracting speculative static information about the computer program during compilation, and a tagless cache architecture that is accessed based on the extracted speculative static information. This aspect may include one or more of the following.
  • The speculative static information may be used to register promote cache pointer information. The speculative static information may be used to select cache pointers at run time. The processor framework may also include at least one of a scratchpad-memory based cache mechanism and an associative cache.
  • The compiler may select which of plural cache accesses are mapped to which cache mechanisms based on the speculative static information. Frequently used data with a low memory footprint may be mapped to the scratchpad-memory based cache mechanism. Associativity and block size in the tagless cache may be logical and programmable. The compiler may determine block sizes and associativity of a cache based on an analysis of the computer program.
  • The processor framework may include a memory area for storing a cache pointer. The processor framework may include a Cache TLB (Translation Look-ahead Buffer) for capturing statically mispredicted cache pointers and other types of cache pointers. The Cache TLB may include eight entries. The processor framework may include a microarchitecture for use in accessing the tagless cache. The microarchitecture may access the tagless cache using at least one of static, static-dynamic, and dynamic cache access paths.
  • Unless otherwise defined, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. Although methods and materials similar or equivalent to those described herein can be used in the practice or testing of the present invention, suitable methods and materials are described below. In addition, the materials, methods, and examples are illustrative only and not intended to be limiting.
  • This brief summary has been provided so that the nature of the invention may be understood quickly. A more complete understanding of the invention can be obtained by reference to the following detailed description of the preferred embodiment thereof in connection with the attached drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a block diagram showing a Tag-less (tagless) Cache architecture, which is an example implementation of the microarchitecture described in the first embodiment.
  • FIG. 2 is a block diagram of cache organizations with address translation moved towards lower levels in the memory hierarchy, STLB is the translation buffer between L1 and L2 caches, and MTLB is the translation buffer added between L2 cache and main memory.
  • FIG. 3 is a block diagram of a baseline memory system, where all accesses require address translation, multi-way cache access, and tag-checks.
  • FIG. 4 is a block diagram showing an example of implementation of the microarchitecture in the 2nd embodiment.
  • FIG. 5 is a flow diagram of an embodiment of the compilation process.
  • FIG. 6 is a diagram for alternative pointer representations: (a) program-point representation, (b) through global information.
  • FIG. 7 is a diagram representing CFG and PTG graphs derived for a simple C program.
  • FIG. 8 is a diagram representing a simple loop-based example analyzed with traditional flow-sensitive AA (top) and the SAA method (bottom), that shows that SAA achieves higher precision by removing all weak point-to relations after each merging-step, where the weak point-to relations are shown with dotted arrows.
  • FIG. 9 is a diagram showing the accuracy of static speculation for one set of parameters suing the industry standard CPU2000 and Mediabench benchmarks.
  • FIG. 10 is a diagram showing chip-wide energy reduction due to reduction in memory consumption obtained with the microarchitecture in the second embodiment as compared to an Alpha 21264 processor.
  • FIG. 11 is a list of programs evaluated with the embodiments described herein.
  • DETAILED DESCRIPTION
  • The problem of energy reduction without performance impact is addressed by the present invention. Power and energy consumption are reduced by methods incorporated at compile-time and at runtime, in both hardware and software layers. The methods include compiler level, instruction set architecture (ISA), and micro-architectural components/techniques.
  • A compiler is software (i.e., machine executable instructions stored in a memory system) that translates applications from high-level programming languages (e.g., C, C++, Java) into machine specific sequences of instructions. The ISA is a set of rules that defines the encoding of operations into machine specific instructions. A program is a collection of machine level instructions that are executed to perform the desired functionality. Micro-architectural (or architectural) components refer to hardware and/or software techniques that are used during execution of the program. The actual machine can be a microprocessor or any other device that is capable of executing instructions that conform to the encoding defined in the ISA. A memory area can be any area that can store bits, e.g., registers, cache, and some type Random Access Memory (RAM).
  • Compile-time refers to the time during which the program is translated from a high level programming language into a machine specific stream of instructions, and it is not part of the execution or runtime. Runtime is the time it takes to execute the translated machine instructions on the machine. Machine energy in the targeted apparatus is only consumed during runtime. Compilation is typically done on a different host machine.
  • Information in the context of this invention refers to either information collected during compilation or during execution. Information collected during compilation is called static or compile time information. Information collected during runtime is called runtime or dynamic information. Program analysis refers to the process during compile time that analyzes the program and extracts static information. Program transformation/optimization is the process during compile time that modifies the program typically to achieve some objective such as improve performance.
  • Static information is defined to be predictable if it can be shown during compilation that the information is true for any possible input set applied to the program, or for any possible execution of the program on the machine in question. Static information is defined to be speculative if the information extracted during compile time is not shown or cannot be shown to be true for all possible execution instances. As such, the available (i.e., extractable) speculative static information is a superset of the available predictable static information in a program.
  • An energy optimization is called dynamic if it uses dynamic information. It is called static if it uses static information.
  • The methods described herein address opportunities that appear at the boundary between compile-time and runtime layers in computer systems, in addition to techniques that can be isolated to be part of either compile-time or runtime components. The methods combine architecture and compiler techniques into a compiler-enabled, tightly integrated, compiler-architecture based system design. The approach is called compiler-enabled if the execution of specific instructions is managed to some extent by static information.
  • This has the benefit of that in addition to dynamic techniques, static and static-dynamic energy reduction optimizations can be enabled. Additionally, the information exposed to runtime layers can be made available much earlier in the processor execution (pipeline), enabling energy reduction without negatively impacting execution latencies.
  • In general, there are two main ways the methods presented herein achieve energy reduction, without significantly affecting performance (for several applications studied performance has been improved): (1) redundancies in instruction executions are either eliminated or reduced, and (2) execution paths are simplified based on modified and/or new micro-architectural components. In both (1) and (2) the methods are leveraging various type of static information and/or dynamic information about resources used and/or resources (likely) needed, and/or information that can be used to estimate the resources likely to be used.
  • The methods leverage static program information in smart ways, and expose static resource utilization information for a particular application, to runtime layers. The apparatus extracts and leverages this information in a speculative manner, in both compiler and architecture components, i.e., in the new methods a superset of the predictable program information can be used.
  • The methods implement compiler analysis and micro-architectural techniques that enable the extraction and utilization of speculative static information without affecting correctness of execution. The methods also enable various degrees of static speculation (i.e., the extent to which information extracted is expected to be true during execution), to control the accuracy of static speculation.
  • Static speculation can be controlled on an application specific/adaptive basis and managed with compile-time flags. This provides unique post-fabrication (compile-time) customization of design objectives, as the type of information extracted and leveraged can be used to control tradeoffs between various design objectives such as power, performance, and predictability, without requiring changes in the architecture.
  • Additionally, the static speculation based approach is or can be combined with dynamic techniques, in a solution that leverages both statically predictable, statically speculative, and dynamic information.
  • Rather than extracting only predictable information, that would require a conservative compilation approach, the new methods extract speculative static information. Such information, that is likely to be true for the typical execution instance, provides a larger scope for optimizations. The information is leveraged speculatively and supported with micro-architectural techniques to provide correctness of execution.
  • In addition to enabling extraction of more program information, the methods also increase the flow of information between compile-time and runtime layers/optimizations, by exposing the speculative static information to runtime layers.
  • The methods encode statically extracted information about predicted resource utilization into the Instruction Set Architecture (ISA), so that this information can be leveraged at runtime. This approach enables a more energy-efficient execution if used together with micro-architectural components.
  • The methods can be used to reduce power and energy consumption in both embedded and general-purpose systems. Furthermore, the methods are applicable to a wide-range of computer systems, both state-of-the-art and emerging, which build on ISA interfaces between hardware and compilation layers. The methods are independent from device level technology, and can be used to reduce energy consumption in both silicon based (e.g., CMOS) and emerging nano electronics based (e.g., carbon nano tubes, nano wires, quantum dots) systems.
  • Memory Systems
  • The presented embodiment relates to the cache and memory system mechanisms. Nevertheless, other embodiments, on the same principles of statically speculative execution and compilation, can be constructed.
  • Background on Memory Systems
  • The cache is a fast memory hierarchy layer, typically smaller in size than the physical address space. It is one of the cornerstones of computer systems, used to hide the latency of main memory accessing. This is especially important, due to the increasing gap between execution speeds and memory latency. While execution speeds are known to double every 18 months (Moore's law), memory latencies are improving at a much lower rate. With the increasing cache sizes, necessary to hide memory latencies, the energy impact of cache accesses becomes even more significant in future generation designs.
  • Every instruction is fetched from the memory hierarchy. Approximately 20-25% of the program instructions are data memory accesses that are fetched from a layer in the (data) memory hierarchy. Hence, memory accessing (instructions and data related) accounts for a large fraction of the total processor energy.
  • As caches are typically smaller in size than the main physical memory, not all memory accesses may be cached (i.e., found in the cache) at a given time. Fast lookup and detection, of whether a memory access is cached or not, in caches, is provided through associative search mechanisms and matching of tag information associated with data blocks.
  • Conventional caches consist of a tag memory and a data-array. The data array is where the actual information is stored. The tag memory is storing additional information related to blocks of data (also called cache blocks or cache lines) in the data-array. The tag information can be imagined as a label that identifies a block of data in the cache. Every memory access has this kind of label associated, as part of its address. The tag extracted from the address is compared with labels in the tag-memory, during a memory access, to identify and validate the location of a data block in the data-array.
  • If there is a tag that matches the current memory tag, then the access results in a cache-hit and can be satisfied from the cache data-array. If there is no tag in the tag-memory that matches the current tag then the access is a cache-miss (at this level at least) and the memory access needs to be resolved from the next layer in the memory hierarchy.
  • In associative caches multiple ways (i.e., alternative locations) are looked up in both tag memory and data-array.
  • Different systems have different organizations for memory hierarchies. Some systems have only one layer of cache before the main memory system, others have multiple layers, each increasingly larger (and slower typically) but still much faster than the main memory. Additionally, a memory system can have additional roles as described next.
  • The broader memory system may include additional mechanisms such as address translation, Translation Lookahead Buffer (TLB), virtualization, protection, and various layers and organizations of memory. Address translation is the mechanism of mapping logical addresses into physical addresses. Logical addresses are typically the addresses that appear on the address pins of the processor, while the physical addresses are those that are used on the actual memory chips.
  • Virtualization is the mechanism that enables a program compiled to run on machines with different memory system organizations. Protection is a mechanism that guarantees that memory accesses are protected against writing into unauthorized memory areas.
  • Approach in Memory Systems
  • The main components in the methods to reduce energy consumption in the memory system are: (1) compiler techniques to extract/leverage static information about memory accessing and data-flow, (2) tag-less and way-predictive compiler-enabled cache architecture based on speculative memory accessing, (3) methodology to interface and integrate the new methods into conventional memory hierarchies and combine static and dynamic optimizations, and (4) ISA extensions to expose memory accessing information.
  • The remaining structure of this description is as follows. Next, two embodiments are introduced. First, the architecture of the Tag-less compiler-enabled cache and related compiler technology are presented. Then, a memory system that combines statically managed memory accessing with conventional memory accessing, a tagged statically speculative cache, the ISA extension, and an embodiment of the compiler technology are described.
  • Embodiments
  • Two implementation examples are presented, for the purpose of illustrating possible applications of the statically speculative execution and compilation methods in memory systems.
  • The first embodiment is a Tag-less cache that can be integrated with other performance and energy reduction mechanisms. This scheme is especially attractive in embedded processors due to its low-cost, high-performance, low-power consumption as well as adaptivity to different application domains.
  • The second implementation is an embodiment in multi-level memory hierarchies. It shows how the method of statically speculative execution and compilation can be integrated in multi-level memory hierarchies. It provides the necessary compilation and architecture techniques for such integration. The methods are applicable, but not restricted to, both embedded and general-purpose domains.
  • 1st Embodiment: Tag-Less Cache Architecture
  • This section describes an energy-efficient compiler-managed caching architecture, that has no tag memory and utilizes speculative static information. The architecture is shown in FIG. 1.
  • Its main components are: Hotlines Register File 3, Cache TLB (Translation Lookahead Buffer) 6, Hotlines Check 5, SRAM Memory 18, Scratchpad Memory 19, and Software (SW) Handier 15. The arrows represent signals or flow in execution that are required for explanation: Virtual Line 1, Hotline Index 2, Result of Cache TLB lookup 10, Cache TLB Miss signal 12, Hotline Register Hit/Miss result 5, Hotline Miss 7, Hotline Hit 8, Address from cache TLB 9, Cache TLB Hit 11, Software Handler Cache Hit 13, Address 16, Enable to Scratchpad 17, Software Handler Detected Cache Miss 14, Data output from SRAM 20, and Data output from scratchpad 21.
  • In this following explanation a design example where scalar accesses are mapped to the scratchpad 17 and the non-scalars to memory 18 is assumed. This however is not necessary; another application of this architecture is to map all the memory accesses to either the hotlines or the conventional paths. Other memory accessing techniques could also be combined with the ones described here.
  • The scratchpad access mechanism consumes very low power due to its small size (a 1 Kbytes memory is used, but this can be a banked memory where the actual use is application specific controlled by the compiler). All accesses directed to the scratchpad 17 are then output on 15, being enabled by signal 12 decoded from the memory instruction.
  • The memory instructions that are using the hotline path carry a hotline index 2 that has been determined at compile time. This identifies the hotline register from register file 3, predicted by the compiler to contain the address translation for the current memory access. Using this index 2, the corresponding hotline register is read from the hotline register file 3. A hotline register file is similar to a general purpose register file, but contains register promoted cache pointers instead of operands. In addition to the statically indexed mode, an associative lookup can also be implemented to speed up access during replacement.
  • The hotline register contains the virtual cache line address to SRAM line address 16 mapping. If the memory reference has the same virtual line address as that contained in the hotline register during the Hotlines Check 5 (i.e., correctly predicted speculative static information), there is a Hotline hit 8. Upon a correct static prediction, the SRAM can be accessed through the SRAM address 16; this address is from the hotline register that is combined with the offset part of the actual address, and the memory access is satisfied. The offset is the part of the address used to identify the word within a cache line. If there is a static misprediction (i.e., the memory access has been encoded at compile-time with an index that points at runtime to a hotline register that does not contain the right translation information) that causes a Hotline Miss 4, the cache TLB 6 is checked for the translation information.
  • If the cache TLB 6 hits or signal 11 is set, the hotline register file 3 is updated with the new translation, and the memory access is satisfied from the SRAM memory 18. Data is output on 20. A Cache TLB miss 12 invokes a compiler generated software handler 15 to perform the address translation. This handler checks the tag-directory (which itself can be stored in a non-mapped portion of the memory) to check if it is a cache miss 14.
  • On a miss 14, a line is selected for replacement and the required line is brought into its place—pretty much what happens in a hardware cache, but handled by software here. The cache TLB 6 and the hotline register 3 are updated with the new translation, and the memory access is satisfied by accessing the SRAM memory 18 and outputting the data on 20.
  • Because the software handler 15 is accessed so seldom, its overhead has minimal effect on the overall performance. This cache can, in fact, even surpass a regular hardware cache in terms of performance. For one, the interference between memory accesses mapped to different paths has been eliminated resulting in better hit-rate, and better cache utilization.
  • Secondly, a high associativity is basically emulated, without the disadvantage of the added access latency in regular associative caches, where higher associativity increases cache access times. Since the SRAM access mechanism is much less complicated than a regular tagged hardware cache, there is a possibility of reduction in cycle time.
  • Additionally, both the hotline path (i.e., 2, 3, 5, 7) and the scratchpad path (i.e., 17, 19, 21) will have a smaller hit latency than in a conventional cache. This latency (in conventional caches) would be even larger if runtime information is used to predict way accesses. Furthermore, an optimal line size can be chosen on a per application basis, as the line here is not fixed but it is based on a compiler determined (logical) mapping.
  • Access Mechanisms
  • This cache architecture combines four cache control techniques: (1) fully static through 19, (2) statically speculative through 2, 3, (3) hardware supported dynamic 6, and (4) software supported dynamic through the software handler 15. FIG. 1 shows this partitioning with the dotted line. To the left the architectural mechanisms implement dynamic control, to the right, static control.
  • The fully static cache management is based on disambiguation between accesses with small memory footprints such as the scalars and other memory accesses. Furthermore, frequently accessed memory references that have a small footprint can be mapped into the scratchpad area. This architecture can also be used without the scratchpad memory, by having all memory accesses mapped either through the statically speculative techniques or some other path.
  • The second technique in this architecture is based on a compile time speculative approach to eliminate tag-lookup and multiple cache way access. In addition, some of the cache logic found in associative caches can also be eliminated. The idea is that if a large percentage of cache accesses can be predicted statically, it is possible to eliminate the tag-array and the cache logic found in associative caches, and thus reduce power consumption.
  • The accesses that are directly mapped to the scratchpad memory require no additional runtime overhead. The statically speculative accesses however, if managed explicitly in the compiler, use virtual to SRAM address mappings or translations at runtime. This mapping is basically a translation of virtual cache line block addresses into SRAM cache lines, based on the line sizes assumed in the compiler.
  • Note that the partitioning of the SRAM into lines is only logical, the SRAM is mainly accessed at the word level, except for during fills associated with cache misses. Inserting a sequence of compiler-generated instructions, at the expense of added software overhead, can do this translation. For many applications there is a lot of reuse of these address mappings. The compiler can speculatively register-promote the most recent translations into a small new register area—the hotline register file. With special memory instructions, or other type of encoding of this information, the runtime overhead of speculation checking can be completely eliminated. Nevertheless, in simple designs a software based check that can be implemented in four regular instructions is also possible.
  • To avoid paying the penalty during a statically miss-predicted access, a small fully associative Cache TLB 6 is used to cache address mappings for memory accesses that are miss-predicted. A 16-entry Cache TLB 6 is enough to catch most of the address translations that are not predicted correctly statically. Different application domains may work fine with a smaller or require a slightly larger size for optimum energy savings.
  • The fourth technique used in this architecture, is basically a fully reconfigurable software cache 15. This technique is a backup solution, and it can implement a highly associative mapping. This implementation is for example based on a four-way associative cache. The mapping table between virtual cache lines 1 and physical SRAM lines 16 can be implemented similar to an inverted page table or other schemes. Experimental results show that the combined static and cache TLB techniques often capture/predict correctly more than 99% of the memory accesses.
  • From a power perspective, this cache has substantial gains compared to a conventional hardware cache for two reasons. First, there are no tag-lookups on scalar accesses and correctly predicted non-scalar accesses. Second, the SRAM is used as a simple addressable memory—the complicated access mechanisms of a regular cache consume more power and increase the memory access latency (e.g., the hit-latency).
  • 2nd Embodiment: Statically Speculative Memory Accessing in Conventional Memory Systems
  • In general there are two main steps involved in a memory access: (1) converting the program address to a cache address, and (2) accessing the data from this address, if present in cache (accessing the slower memory such as DRAM if not present). Depending on the implementation, there can be considerable power/performance redundancy associated with both of these steps. This redundancy problem is described in the next subsection, following with implementation embodiments to tackle this problem. The invention is not limited to these embodiments.
  • FIG. 3 shows the memory access process. The translation function translates the larger program address 100 into a cache block address shown as part of 110 (the lower order block offset bits in 100 do not undergo any translation).
  • Depending on the caching scheme, this translation can be very expensive, both energy-wise (for example, on a virtual memory system with a 4-way cache, the virtual address 100 will be converted to physical address by the TLB 105, and all the 4 tag and data arrays 112,113,114,115 would be looked up in parallel), and performance-wise (if the cache is software managed, doing the translation in software will consume valuable CPU cycles). The translation information 109 in case of a TLB hit 108 is added with the offset to form address 110 that is used to access the cache.
  • Where is the redundancy? Looking at a cache block level, two program addresses with the same virtual block address map to the same cache block. Therefore, the second translation is redundant. In general, if there is a group of memory accesses mapping to the same cache block, repeating the translation process on each access can be wasteful. Additionally, if the cache way for the access is known, looking up all the four ways (e.g., way 3 112, way 2 113, way 1 114) is not necessary. Furthermore, the tag lookup 111 is wasteful if the tag has been checked for an earlier access in the same cache block.
  • The usual implementation maps all the accesses to the same cache. This scheme may also be extravagant: many applications often exhibit the behavior where a small set of references are accessed very often—these can be accommodated in a small partition of the cache which consumes much less power. Therefore, partitioning the cache and devising a wiser translation function, which maps different accesses to different cache partitions depending on their access pattern, can amount to sizable energy savings.
  • The aforementioned redundancies are tackled using a cooperative compiler-architecture approach. Specifically, compiler analysis techniques that identify accesses likely to map to the same cache line are developed. These accesses can avoid repeated translation to save energy. The compiler in the proposed method speculatively register promotes the translations for such groups of accesses.
  • These registers that contain address translation information are provided as a form of architectural support. At runtime, the architecture is responsible for verifying static speculations: if correctly predicted by the compiler, the expensive translation is eliminated. On mispredictions, the architecture can update the registers with new information. Further, the level of speculation in the compiler can be varied to better match application behavior. Henceforth, the solution proposed is referred to as the microarchitecture in 2nd embodiment.
  • Conventional general-purpose microprocessors use a one-size-fits-all access mechanism for all accesses. The subject architecture in the 2nd embodiment derives its energy savings by providing different energy-efficient access paths that are compiler-matched to different types of accesses. Next an overview of the subject architecture in the 2nd embodiment is presented and followed with detailed discussions on the features of this architecture.
  • Two different organizations of the architecture in the 2nd embodiment are shown. In both organizations a virtually-indexed and virtually-tagged first level cache is used and address translation is moved to lower levels in the memory hierarchy. Other type of cache organizations are also possible. As second level or L2 cache, both a physically-indexed and a virtually-indexed cache are shown. Some of the design challenges in virtual-virtual organizations (e.g., the synonym problem, integration in bus based multiprocessor systems, and context-switching with large virtual L2 caches) could be handled easier in virtual-physical designs. In both organizations, translation buffers are added. A translation buffer is a cache for page level address translations and is used to avoid the more expensive page table lookup in virtual memory systems.
  • In the virtual-virtual (v-v) organization, a translation buffer (MTLB) is added after the L2 cache and is accessed for every L2 cache miss. This serves better the energy optimization objectives than a TLB-less design, where address translation is implemented in software. Nevertheless, if increased flexibility is desired, in the way paging is implemented in the operating system, the TLB-less design is a reasonable option (experimental results prove this point). In the virtual-physical organization (v-r), a translation buffer (STLB) is added after the L1 cache and is accessed for every L1 cache miss or every L2 cache access.
  • An overview of the different cache organizations with address translation moved towards lower levels in the cache hierarchy is shown in FIG. 2. As address translation consumes a significant fraction of the energy consumed in the memory system, both the v-v and v-r designs will save energy compared to a physical-physical (r-r) cache hierarchy, where virtual-to-physical address translation is done for every memory access.
  • A context-switch between threads belonging to different tasks may require change in virtual address mappings. To avoid flushing the TLBs address-space identifiers to TLB entries are added. Note that not having the address-space identifiers not only would require flushing all the TLB entries, but would also imply that the newly scheduled thread, once it starts executing, will experience a number of TLB misses until its working set is mapped.
  • FIG. 4 presents an overview of the subject architecture in the 2nd embodiment memory system, with integrated static 200 and dynamic 201 access paths. The subject architecture in the 2nd embodiment extends associative cache lookup mechanism 215, 216, 217, 218, with simpler, direct addressing modes 213, in a virtually tagged and indexed cache organization. This direct addressing mechanism 213 eliminates the associative tag-checks (i.e., no tag-lookup as shown in 215,216, 217, 218 is required) and data-array accesses (i.e., only one of the data-arrays from 215, 216, 217, 218 is accessed). The compiler-managed speculative direct addressing mechanism uses the hotline registers 208. Static mispredictions are directed to the CAM based Tag-Cache 210, a structure storing cache line addresses for the most recently accessed cache lines. Tag-Cache hits also directly address the cache, and the conventional associative lookup mechanism is used only on Tag-Cache misses. Integration of protection-checks along all cache access paths (208, 210 and conventional) enables moving address translation to lower levels in the memory hierarchy, as described earlier, or TLB-less operation. In case of TLB-less designs, an L2 cache miss requires virtual-to-physical address translation for accessing the main memory; a software virtual memory exception handler can do the needful.
  • Support for Moving the TLB to Lower Levels in the Memory Hierarchy or TLB-Less Operation
  • The subject architecture in the 2nd embodiment employs virtually addressed caches, and integrates support for protection checks, otherwise performed by the TLB, along all access mechanisms. That is, the subject architecture in the 2nd embodiment has embedded protection checks in the Hotline registers 208, the Tag-Cache 210, and cache tags (shown as part of 215, 216, 217, 218). The subject architecture in the 2nd embodiment therefore could completely dispense with the TLB.
  • L2 cache misses in the v-v organization require address translation for the main memory access. The subject architecture in the 2nd embodiment uses translation buffer to speed up this address translation, but a software VM exception handler for doing the translation on L2 cache misses and fetching the data from the main memory can also be used.
  • The statically speculative, compiler managed memory accessing can also be integrated in other type of memory hierarchies.
  • Hotline Registers
  • The conventional associative lookup approach 4 parallel tag-checks and data-array accesses (in a 4-way cache). Depending on the matching tag, one of the 4 cache lines is selected and the rest discarded. Now for sequences of accesses mapping to the same cache line, the conventional mechanism is highly redundant: the same cache line and tag match on each access. The subject architecture in the 2nd embodiment reduces this redundancy by identifying at compile-time, accesses likely to lie in the same cache line, and mapping them speculatively through one of the hotline registers 208.
  • The condition that the hotline path evaluates can be done very efficiently without carry propagation. The hotline cache access can also he started in parallel with the check, with the consequence that in case of incorrect prediction some additional power is consumed in the data-array decoder. As a result, the primary source of latency for hotline based accesses, is due to the data array access and the delay through the sense amps. Note that conventional associative cache designs use an additional multiplexer stage to select between ways in a multi-way access (i.e., the correct block from the ways 215, 216, 217, 218). Furthermore, as shown in previous cache designs, the critical path is typically the tag-path; the tag latency can be as much as 30% larger than the latency of the data-array path in the conventional design.
  • Reduced feature sizes in next generation architectures will further accentuate the latency increase of the tag path. Because of this, in conventional cache designs, the way-selection logic is moved towards the tag to rebalance the delay differences between the tag and data-array paths. In the subject architecture in the 2nd embodiment the latency of the data-array could be the main target for optimizations, as the tag path is not on the critical path for most of the memory accesses, by adequate bitline and wordline partitioning. Additionally, as physical cache designs would require the TLB access completed to perform the tag comparison (the tag access could be however done in parallel), this may also add to the tag path latency. As such, the subject architecture in the 2nd embodiment based microprocessor could either have a faster clock or at least a faster cache access for statically predicted cache accesses.
  • The different hotline compiler techniques are described in the next section. A simple run-time comparison 211 reveals if the static prediction is correct. The cache is directly accessed on correct predictions 213, and the hotline register 208 updated with the new information on mispredictions. A fully associative lookup on the hotline registers to support invalidations is included.
  • As shown in FIG. 6, a hotline register 208 has 3 components: (1) protection bits (ASID), which are used to enforce address space protection, (2) TagIndex—two accesses are to the same cache line if their Tag and Index components are the same. The TagIndex component is compared with Tag and Index of the actual access to check if the hotline register can indeed be used to directly address the cache, (3) cache-way information—this information enables direct access to one of the ways in the set-associative cache.
  • Tag-Cache
  • Another energy-efficient cache access path in the subject architecture in the 2nd embodiment is the Tag-Cache 210. It is used both for static mispredictions (hotline misses 212) and accesses not mapped through the hotline registers, i.e., dynamic accesses 201. Hence it serves the dual-role of complementing the compiler-mapped static accesses by storing cache-line addresses recently replaced from the hotline registers, and also saving cache energy for dynamic accesses; the cache is directly accessed on Tag-Cache hits 211, 213.
  • A miss in the Tag-Cache 210 implies that associative lookup mechanism is used with an additional cycle performance overhead. The Tag-Cache is also updated with the new information on misses, in for example LRU fashion. As seen in FIG. 4, each Tag-Cache 210 entry is exactly the same as a hotline register 208, and performs the same functions, but dynamically.
  • Associative Lookup
  • The subject architecture in the 2nd embodiment uses an associative cache lookup that is different from the conventional lookup in that the protection information (ASID) is also tagged to each cache line. Even the virtually addressed L2 cache is tagged with protection information in the v-v design to enable TLB-less L2 access. This increases the area occupied by the tag-arrays, and also its power consumption. Compared to the overall cache area and energy consumption, this increase is however negligible.
  • Instruction Set Architecture (ISA) Support
  • To access the memory through the hotline registers, memory operations 200 that encode the hotline register index should be provided. This index is filled in during compile time based on the techniques described in the compiler section. The implementation should perform a simple check 211 between the content of the hotline register identified and the actual virtual block address, as shown in FIG. 4. Special instructions, rather than modifications to existing can also be provided for example. Alternatively, techniques requiring no ISA modifications could also be used, as shown in the section. The invention is not limited to type of encodings described herein.
  • Approach Not Requiring ISA Support
  • Static information about the hotline registers 208 accessed could be provided by generating code that writes this into predetermined memory locations, e.g., into a stream-buffer. This buffer can be used to add the index at runtime to memory accesses in the critical path. For example, memory accesses that are identified in critical loops could use the index information from this buffer during the Instruction Decode stage to access the hotline registers. The invention is not limited to type of encodings described herein.
  • An Embodiment of the Compilation Process
  • FIG. 5 shows a high-level picture of the stages involved in an embodiment for compilation. The implementation is using the SUIF format. The invention is not limited to this format or to the compilation embodiment presented.
  • The program sources are first converted to the intermediate format 301 and high-level optimizations are performed 306. Following that is the Alias Analysis stage, or equivalent, and the Hotlines passes 302. Alias information enables the Hotline Analysis to more economically assign hotlines to references (i.e., map cache pointers to registers). Without alias analysis, the compiler would liberally assign each memory reference a new hotline number. This will have a downgrading effect only if the number of references within inner loop bodies is more than the number of hotlines, resulting in the same hotlines being assigned to possibly spatially far apart references. This would cause interference and result in lower prediction rates. For many applications, the media benchmarks tested in particular though, this is not so and the alias analysis stage could be omitted with minimal effect on the prediction rates. Code is generated based on the information extracted in 303. Optimizations are performed on the high-level representation 305 (e.g., based on expression trees) and low-level representation 306 (e.g., flat instruction sequences). Finally the generated code is run through an assembler 304 and results in a binary.
  • The Section “Hotlines With Speculative Alias analysis shows a speculative data-flow analysis technique that further improves on the precision the range of location sets is determined and extends its scope to large and complex applications. Additional passes include code generation 303 that takes into consideration the results of the analysis above, and then assembling the code 305 into a binary format.
  • Caches represent a large fraction of processor power consumption. Given accesses, a speculative analysis to predict which cache line is being accessed is used. Although it is impossible do this with perfect accuracy, the methods described herein provide an approach with good overall accuracy. Moreover, as pointed out above, it is not necessary for predictions to he perfect, rather, they should be right sufficiently often that one can make beneficial use of them.
  • Almost all programs exhibit the behavior where certain cache lines are “hot”, i.e., they are being used much more frequently than others. If the compiler can register promote the cache pointers for these hot cache lines, the lookup for the many accesses mapping to these cache lines can be avoided, i.e., the compiler can identify at cache lines that are heavily used, and for all accesses going to these, map them through an energy-efficient memory access mechanism.
  • Basic Hotlines Analysis
  • This process assigns each variable name a different hotline register starting with the first register. When all the registers have been used up, it wraps around back to the first register. The following example illustrates this process:
  • for(i = 0; i < 100; i++) {
    a[i]{1} = a[i+1]{1}; // numbers in curly braces
    b[i]{2} = 0;  // are the hotline registers
    *(p++){3} = 1; // assigned by the process
    }
  • The variables have been assigned three hotline registers. For example, the hotlines process predicts that all the a[ ] accesses for example, will map to the same cache line and register promotes the cache pointer in register 1.
  • In particular, if the a[ ] is a word-sized array and the cache line is 8 words wide, a[0] and a[7] could map to one cache line, a[8] through a[15] to another, and so on.
  • Therefore, for this case, the process has seven correct predictions for every misprediction.
  • In general, this simple process works well with programs with high spatial locality, like multimedia programs. Below, enhancements to the basic approach are described.
  • Hotlines Combined with Alias Analysis
  • An accurate flow and context sensitive alias analysis can reveal the location set that any pointer can be pointing to at any given context in the program. Consider the following example:
  • int a[100], b[100];
    ..
    ..
    if (....) p = a; else p = b;
    for(i = 0; i < 100; i++) {
    a[i] = 0;
    *(p++) = 1; // location_set(p) = {a, b}
    }
  • The if-statement assigns either the array a or b to the pointer p. This means that inside the loop, p could be accessing either array a or b.
  • A context- and flow-sensitive compiler would extract this information: the location sets of pointers at various points in the program. As mentioned earlier, this can help in a more efficient hotline process: perform alias analysis and then during the hotlines phase, utilize alias information to better handle pointer-based accesses.
  • Perfect alias analysis is not typically possible for large and complex applications, especially those using precompiled libraries. Instead, a speculative alias analysis is developed as part of the solution proposed. This is described in Section “Hotlines with Speculative Alias Analysis”.
  • Enhancement with Type, Distance and Dependence Analysis
  • This process hotlines all accesses like the basic hotline process, but is more refined. If an array a[ ] has been mapped through register r1, it won't necessarily be mapped through register 1 again. Instead the process will try to calculate the spatial distance of this access to the previous one. Only if they are sufficiently close will they be mapped through the same register.
  • The following example illustrates how the process works:
  • for (i = 0; i <100; i++) {
    a[i]{1} = a[i+1]{1} + a[i + 100]{2} + a[i+103]{2};
    b[i]{3} = 0; // number in curly braces is the hotline
    p{4} = p−>next{4} // register assigned by the process
    }
  • Suppose the array element-size is 4 bytes, the cache line is 64 bytes, and that two accesses are mapped to the same register if they are within 32 bytes from each other.
  • The hotlines process first assigns a[i] hotline register r1. When it comes to a[i+1], it checks the distance from currently mapped accesses, and finds the closest one to be a[i] which is 4 bytes apart. Since this is within the threshold, a[i+1] is also mapped through r1. For a[i+100], the closest access a[i+1] is 396 bytes apart, and hence a[i+100] is mapped through a different hotline. The array accesses b[ ] is assigned register r3 and so on.
  • In evaluating the distance between two accesses, the hotlines process uses control-flow, loop structure, dependence and type information: field offsets in structures, array element sizes, etc.
  • Support for Various Levels of Static Speculation
  • This process can be made to vary in its level of aggressiveness.
  • A very aggressive version would carry out actions based on predictions which do not necessarily have a high degree of confidence.
  • A conservative version may not do so, for instance, it would not hotline non-affine array accesses of the form a[b[i]] which are generally hard to predict. Different versions of this process with different levels of aggressiveness can be constructed. The invention is not limited to one particular implementation.
  • Hotlines with Speculative Alias Analysis
  • This analysis is part of the embodiment presented for the compilation process. The objective of this analysis is to extract precise information about memory access patterns in pointer based accesses. The proposed technique is speculative in the sense that the possible values for each pointer access are determined and included based on their likelihood of occurrence at runtime. Unlikely values are ignored and highly likely values are added, even when the full proof cannot be derived at compile-time.
  • One of the primary motivations for developing the speculative alias analysis (SAA) process is because the more precise implementations of non-speculative alias analysis have limitations when used for large programs or when special constructs such as pointer based calls, recursion, or library calls are found in the program. The less precise alias analysis techniques, that are typically used in optimizing compilers, have lower complexities but they are much less useful in the context of extracting precise information about memory access patterns. The experience with several state-of-the-art research alias analysis packages shows that they don't work well for these programs. For example, none of the SPEC2000 benchmarks could be analyzed with them. SAA based analysis can not only be applied without restrictions and has lower complexity, but also provides more precise information about memory accesses.
  • The information given by this analysis can be used in the hotlines processes, e.g., to determine which cache pointer (or hotline register) to assign to a given pointer based memory access. Additionally, the same information can be used in disambiguating pointer based loop-carried dependencies, to estimate loop level parallelism in addition to ILP.
  • There are two ways to give pointer information: (1) through program-point information, and (2) through global information. FIG. 6 shows a simple C program and illustrates the difference between these representations.
  • Program point information for example would show that at the end of the program segment in FIG. 6, pointer p points to {y,z}, a more precise information, compared with the global information case where p points to {x,y,z}. Although global information can be extracted with much more efficient analysis process, it gives less precise results.
  • In general, alias analysis is done at either the intra-procedural level or at the inter-procedural level. The latter considers analysis across call statements, attempts to handle recursive, and pointer-based calls.
  • For intra-procedural analysis, a variety of processes with different degrees of precision and efficiency have been developed. A more precise analysis results in narrower sets (i.e., fewer possible values for a pointer to take). Flow-sensitive analysis takes control flow into account usually giving program-point results. Flow-insensitive analysis views a program as a set of statements that can be executed in any order and gives per program or global results.
  • Flow-insensitive processes can be built on top of a type-based analysis or constrained-based analysis. Because of the higher precision of flow-sensitive approaches are of more interest in these techniques. Flow-sensitive approaches are typically based on traditional dataflow analysis, where pointer information is represented with points-to graphs (PTG). The speculative approach defined in the SAA process could be applied to any type of alias analysis.
  • Nodes in a PTG correspond to program variables and edges represent points-to relations. A points-to relation connects two variables and means that a pointer variable can take the value of another variable during execution. Intuitively, a smaller number of points-to relations means better precision.
  • The main steps in a non-speculative flow-sensitive analysis process are as follows: (1) build a control-flow graph (CFG) of the computation, (2) analyze each basic block in the CFG gradually building a PTG, (3) at the beginning of each basic block merge information from previous basic blocks, (4) repeat steps 2-3 until the PTG graph does not change. See for example in FIG. 7, the CFG and the PTG for a simple C application.
  • This analysis builds a PTG for the program in a conservative way, i.e., it guarantees that for each variable all the possible points-to relations are captured. The SAA approach removes some of these points-to relations when it predicts them as seldom-occurring. A point-to relation is defined to be a weak points-to relation if the edge is less likely to be leveraged during execution compared to other points-to relations from the same pointer variable.
  • FIG. 8 exemplifies the flow-sensitive embodiment of the SAA process in loops, for the simple case when point-to relations are mapped to either weak or strong ones. One of the process's rules is that the incoming location sets are the weak point-to relations, and are removed if there is any strong point-to relation for the same access within the loop body. A generalization of this process, for nested loops, is to consider loop nests organized in pairs, with inner loop updates being strong and incoming edges weak, and so on.
  • FIG. 8 shows that a great deal of precision has been obtained by removing several edges in the PTG. For example, both pointer p and q has been determined to point to only variable c after only three iterations in the process.
  • The complexity of the SAA process is reduced compared to traditional alias analysis process. One possible implementation is by stopping the dataflow analysis after a certain number of iterations. Other implementations are also possible. The main complexity in non-speculative alias analysis is coming from dealing with loops, recursive calls, multithreaded analysis, and library calls in an inter-procedural analysis. The analysis in the SAA process applies an approximate approach and stops the dataflow analysis before full convergence is reached in such cases. Library calls that may modify pointer values and for which source codes are not available can also be speculatively estimated or ignored.
  • An example of implementation of the SAA process is as follows: (1) build a control-flow graph (CFG) of the computation, (2) analyze each basic block in the CFG gradually building a points-to graph (PTG), (3) at the beginning of each basic block merge information from previous basic blocks, (4) annotate weak and strong point-to relations focusing on loops by looking at incoming point-to relations and point-to relations in loop bodies, (5) speculatively estimate recursive calls and library calls, (6) repeat steps 2-5 until the PTG graph does not change or until a predetermined number of steps in the analysis have been reached.
  • The methods described in this embodiment have been implemented and carefully evaluated.
  • A small sampling of data giving a preview of the accuracy of static speculation obtained with this implementation is presented in FIG. 9. As shown, both memory accessing and instructions executed per cycle could be predicted statically with good accuracy. Better prediction translates into the possibility of saving more energy.
  • FIG. 10 shows the breakdown of processor-wide energy savings obtained due to significantly reduced energy consumed in the memory system. It shows that up to 75% of the energy consumed in memory accessing can be saved. This translates into up to 21% total energy reduction in an Alpha 21264 type of processor. A description of some the benchmarks evaluated, but not limited to, is presented in FIG. 11.
  • The invention is not limited to, but can also be used to improve performance in processors. Reduction of access latencies in caches, for example, in the embodiments shown, can improve memory accessing performance. Alternatively, it can enable faster clock rates that would reduce execution time, or would enable using larger caches that would improve memory performance. Other performance benefits can result from, but not limited to, more efficient execution.
  • Other embodiments not described herein are also within the scope of the following claims.

Claims (3)

1-18. (canceled)
19. A processor framework comprising:
a compiler which compiles a computer program, the compiler extracting speculative static information about the computer program during compilation; and
a tagless cache architecture that is accessed based on the extracted speculative static information.
20-30. (canceled)
US12/347,252 2002-07-09 2008-12-31 Statically speculative compilation and execution Abandoned US20090300590A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US12/347,252 US20090300590A1 (en) 2002-07-09 2008-12-31 Statically speculative compilation and execution
US13/033,159 US20110258416A1 (en) 2002-07-09 2011-02-23 Statically speculative compilation and execution
US13/669,687 US20130145132A1 (en) 2002-07-09 2012-11-06 Statically speculative compilation and execution
US14/192,438 US9235393B2 (en) 2002-07-09 2014-02-27 Statically speculative compilation and execution
US14/964,443 US10101978B2 (en) 2002-07-09 2015-12-09 Statically speculative compilation and execution

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/191,946 US6970985B2 (en) 2002-07-09 2002-07-09 Statically speculative memory accessing
US10/191,646 US7493607B2 (en) 2002-07-09 2002-07-09 Statically speculative compilation and execution
US12/347,252 US20090300590A1 (en) 2002-07-09 2008-12-31 Statically speculative compilation and execution

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/191,646 Continuation US7493607B2 (en) 2002-07-09 2002-07-09 Statically speculative compilation and execution

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/033,159 Continuation US20110258416A1 (en) 2002-07-09 2011-02-23 Statically speculative compilation and execution

Publications (1)

Publication Number Publication Date
US20090300590A1 true US20090300590A1 (en) 2009-12-03

Family

ID=30117810

Family Applications (7)

Application Number Title Priority Date Filing Date
US10/191,946 Expired - Lifetime US6970985B2 (en) 2002-07-09 2002-07-09 Statically speculative memory accessing
US10/191,646 Expired - Lifetime US7493607B2 (en) 2002-07-09 2002-07-09 Statically speculative compilation and execution
US12/347,252 Abandoned US20090300590A1 (en) 2002-07-09 2008-12-31 Statically speculative compilation and execution
US13/033,159 Abandoned US20110258416A1 (en) 2002-07-09 2011-02-23 Statically speculative compilation and execution
US13/669,687 Abandoned US20130145132A1 (en) 2002-07-09 2012-11-06 Statically speculative compilation and execution
US14/192,438 Expired - Fee Related US9235393B2 (en) 2002-07-09 2014-02-27 Statically speculative compilation and execution
US14/964,443 Expired - Lifetime US10101978B2 (en) 2002-07-09 2015-12-09 Statically speculative compilation and execution

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/191,946 Expired - Lifetime US6970985B2 (en) 2002-07-09 2002-07-09 Statically speculative memory accessing
US10/191,646 Expired - Lifetime US7493607B2 (en) 2002-07-09 2002-07-09 Statically speculative compilation and execution

Family Applications After (4)

Application Number Title Priority Date Filing Date
US13/033,159 Abandoned US20110258416A1 (en) 2002-07-09 2011-02-23 Statically speculative compilation and execution
US13/669,687 Abandoned US20130145132A1 (en) 2002-07-09 2012-11-06 Statically speculative compilation and execution
US14/192,438 Expired - Fee Related US9235393B2 (en) 2002-07-09 2014-02-27 Statically speculative compilation and execution
US14/964,443 Expired - Lifetime US10101978B2 (en) 2002-07-09 2015-12-09 Statically speculative compilation and execution

Country Status (3)

Country Link
US (7) US6970985B2 (en)
AU (1) AU2003253804A1 (en)
WO (1) WO2004006060A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090158262A1 (en) * 2007-12-12 2009-06-18 Oracle International Corporation Efficient compilation and execution of imperative-query languages
US7996671B2 (en) 2003-11-17 2011-08-09 Bluerisc Inc. Security of program executables and microprocessors based on compiler-architecture interaction
US20120137049A1 (en) * 2010-11-30 2012-05-31 Micron Technology, Inc. Code patching for non-volatile memory
US20120317558A1 (en) * 2011-06-10 2012-12-13 Microsoft Corporation Binding executable code at runtime
US8607209B2 (en) 2004-02-04 2013-12-10 Bluerisc Inc. Energy-focused compiler-assisted branch prediction
US8875100B2 (en) 2011-06-17 2014-10-28 Microsoft Corporation Pattern analysis and performance accounting
US8990515B2 (en) 2011-06-14 2015-03-24 Microsoft Technology Licensing, Llc Aliasing buffers
US9069938B2 (en) 2006-11-03 2015-06-30 Bluerisc, Inc. Securing microprocessors against information leakage and physical tampering
US9235393B2 (en) 2002-07-09 2016-01-12 Iii Holdings 2, Llc Statically speculative compilation and execution
US9569186B2 (en) 2003-10-29 2017-02-14 Iii Holdings 2, Llc Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control
US10237059B2 (en) 2013-06-28 2019-03-19 The Trustees Of Columbia University In The City Of New York Diversified instruction set processing to enhance security

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SI1465625T1 (en) * 2001-11-14 2010-06-30 Univ Rutgers Solubilized topoisomerase poisons
US6934865B2 (en) * 2002-07-09 2005-08-23 University Of Massachusetts Controlling a processor resource based on a compile-time prediction of number of instructions-per-cycle that will be executed across plural cycles by the processor
US7278136B2 (en) * 2002-07-09 2007-10-02 University Of Massachusetts Reducing processor energy consumption using compile-time information
US6944714B2 (en) * 2002-07-30 2005-09-13 Hewlett-Packard Development Company, L.P. Method and apparatus for saving microprocessor power when sequentially accessing the microprocessor's instruction cache
US7143399B2 (en) * 2003-01-29 2006-11-28 Sun Microsystems, Inc. Method and apparatus for prefetching memory pages during execution of a computer program
US6976126B2 (en) * 2003-03-11 2005-12-13 Arm Limited Accessing data values in a cache
US20040181626A1 (en) * 2003-03-13 2004-09-16 Pickett James K. Partial linearly tagged cache memory system
US7552277B2 (en) * 2003-08-20 2009-06-23 International Business Machines Corporation Distributed buffer integrated cache memory organization and method for reducing energy consumption thereof
US7233880B2 (en) * 2003-09-11 2007-06-19 Intel Corporation Adaptive cache algorithm for temperature sensitive memory
US7089537B2 (en) * 2003-09-15 2006-08-08 Microsoft Corporation System and method for performing path-sensitive value flow analysis on a program
US8621179B2 (en) * 2004-06-18 2013-12-31 Intel Corporation Method and system for partial evaluation of virtual address translations in a simulator
US7941585B2 (en) * 2004-09-10 2011-05-10 Cavium Networks, Inc. Local scratchpad and data caching system
WO2006031551A2 (en) 2004-09-10 2006-03-23 Cavium Networks Selective replication of data structure
US7594081B2 (en) 2004-09-10 2009-09-22 Cavium Networks, Inc. Direct access to low-latency memory
US7367024B2 (en) * 2004-09-21 2008-04-29 University Of Maryland Compiler-driven dynamic memory allocation methodology for scratch-pad based embedded systems
US8347034B1 (en) 2005-01-13 2013-01-01 Marvell International Ltd. Transparent level 2 cache that uses independent tag and valid random access memory arrays for cache access
US7685372B1 (en) * 2005-01-13 2010-03-23 Marvell International Ltd. Transparent level 2 cache controller
US20070089104A1 (en) * 2005-10-13 2007-04-19 Arie Tal Method and system for managing heuristic properties
US7661090B2 (en) * 2006-01-11 2010-02-09 Dell Products L.P. Task generation runtime engine
US7784037B2 (en) * 2006-04-14 2010-08-24 International Business Machines Corporation Compiler implemented software cache method in which non-aliased explicitly fetched data are excluded
US7735061B2 (en) * 2006-05-03 2010-06-08 Epic Games, Inc. Efficient encoding and access of mathematically precise variable precision numeric types
US20070294181A1 (en) * 2006-05-22 2007-12-20 Saurabh Chheda Flexible digital rights management with secure snippets
US7827381B2 (en) * 2006-06-05 2010-11-02 Oracle America, Inc. Hybrid techniques for memory virtualization in a computer system
US8464225B2 (en) * 2007-05-06 2013-06-11 Dynatrace Software Gmbh Method and system for adaptive, generic code instrumentation using run-time or load-time generated inheritance information for diagnosis and monitoring application performance and failure
US8533687B1 (en) 2009-11-30 2013-09-10 dynaTrade Software GmbH Methods and system for global real-time transaction tracing
US9231858B1 (en) 2006-08-11 2016-01-05 Dynatrace Software Gmbh Completeness detection of monitored globally distributed synchronous and asynchronous transactions
US8234631B2 (en) * 2007-08-14 2012-07-31 Dynatrace Software Gmbh Method and system for tracing individual transactions at the granularity level of method calls throughout distributed heterogeneous applications without source code modifications
US7594079B2 (en) * 2006-09-29 2009-09-22 Mips Technologies, Inc. Data cache virtual hint way prediction, and applications thereof
US9946547B2 (en) 2006-09-29 2018-04-17 Arm Finance Overseas Limited Load/store unit for a processor, and applications thereof
US7900198B2 (en) * 2006-10-02 2011-03-01 Wind River Systems, Inc. Method and system for parameter profile compiling
US8656381B2 (en) * 2006-12-07 2014-02-18 International Business Machines Corporation Presenting machine instructions in a machine-independent tree form suitable for post-link optimizations
US20080154379A1 (en) * 2006-12-22 2008-06-26 Musculoskeletal Transplant Foundation Interbody fusion hybrid graft
US20080244544A1 (en) * 2007-03-29 2008-10-02 Naveen Neelakantam Using hardware checkpoints to support software based speculation
US9047412B2 (en) 2007-05-06 2015-06-02 Dynatrace Corporation System and method for extracting instrumentation relevant inheritance relationships for a distributed, inheritance rule based instrumentation system
US8205064B2 (en) * 2007-05-11 2012-06-19 Advanced Micro Devices, Inc. Latency hiding for a memory management unit page table lookup
US8930927B2 (en) * 2007-06-04 2015-01-06 International Business Machines Corporation Diagnosing aliasing violations in a partial program view
US8839218B2 (en) * 2007-06-04 2014-09-16 International Business Machines Corporation Diagnosing alias violations in memory access commands in source code
US8056066B2 (en) * 2007-08-22 2011-11-08 International Business Machines Corporation Method and apparatus for address taken refinement using control flow information
US8370823B2 (en) * 2007-08-27 2013-02-05 International Business Machines Corporation Device, system, and method of computer program optimization
US9274923B2 (en) * 2008-03-25 2016-03-01 Wind River Systems, Inc. System and method for stack crawl testing and caching
JP4381459B1 (en) * 2008-06-27 2009-12-09 株式会社東芝 Information processing apparatus, granularity adjustment method, and program
US8799884B2 (en) * 2008-08-13 2014-08-05 Qualcomm Incorporated Software application performance enhancement
US20100042982A1 (en) * 2008-08-15 2010-02-18 Microsoft Corporation Optimization of imperative implementation of compositional content
US8312442B2 (en) * 2008-12-10 2012-11-13 Oracle America, Inc. Method and system for interprocedural prefetching
JP5576605B2 (en) * 2008-12-25 2014-08-20 パナソニック株式会社 Program conversion apparatus and program conversion method
US9104435B2 (en) 2009-04-14 2015-08-11 Empire Technology Development Llc Program and data annotation for hardware customization and energy optimization
US9329876B2 (en) * 2009-05-20 2016-05-03 Microsoft Technology Licensing, Llc Resource aware programming
US9329846B1 (en) * 2009-11-25 2016-05-03 Parakinetics Inc. Cooperative program code transformation
US20110153978A1 (en) * 2009-12-21 2011-06-23 International Business Machines Corporation Predictive Page Allocation for Virtual Memory System
US8825982B2 (en) * 2010-06-10 2014-09-02 Global Supercomputing Corporation Storage unsharing
US8701099B2 (en) * 2010-11-02 2014-04-15 International Business Machines Corporation Accelerating generic loop iterators using speculative execution
US9009689B2 (en) * 2010-11-09 2015-04-14 Intel Corporation Speculative compilation to generate advice messages
JP5656602B2 (en) * 2010-12-14 2015-01-21 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Method for analyzing pointer, computer and computer program thereof
US8793675B2 (en) * 2010-12-24 2014-07-29 Intel Corporation Loop parallelization based on loop splitting or index array
US8832672B2 (en) * 2011-01-28 2014-09-09 International Business Machines Corporation Ensuring register availability for dynamic binary optimization
US9274919B2 (en) 2011-04-29 2016-03-01 Dynatrace Software Gmbh Transaction tracing mechanism of distributed heterogenous transactions having instrumented byte code with constant memory consumption and independent of instrumented method call depth
US8606407B2 (en) * 2011-06-20 2013-12-10 Schneider Electric Buildings, Llc Energy management application server and processes
US20140157419A1 (en) 2012-12-05 2014-06-05 International Business Machines Corporation Discovery of application vulnerabilities involving multiple execution flows
WO2014142949A1 (en) * 2013-03-15 2014-09-18 Intel Corporation Identification and management of unsafe optimizations
US9015422B2 (en) * 2013-07-16 2015-04-21 Apple Inc. Access map-pattern match based prefetch unit for a processor
US9213532B2 (en) * 2013-09-26 2015-12-15 Oracle International Corporation Method for ordering text in a binary
US9411964B1 (en) 2014-11-24 2016-08-09 Bluerisc, Inc. Characterizing, detecting and healing vulnerabilities in computer code
US9754112B1 (en) 2014-11-24 2017-09-05 Bluerisc, Inc. Detection and healing of vulnerabilities in computer code
US9495295B1 (en) * 2015-04-23 2016-11-15 PhotonIC International Pte. Ltd. Photonics-optimized processor system
US9971694B1 (en) 2015-06-24 2018-05-15 Apple Inc. Prefetch circuit for a processor with pointer optimization
US9489205B1 (en) * 2015-07-03 2016-11-08 Yong-Kyu Jung Compiler-assisted look-ahead instruction-fetch and branch-prediction system apparatus and method for microprocessors
US9720691B2 (en) * 2015-09-23 2017-08-01 Qualcomm Incorporated Speculative scalarization in vector processing
US9703537B2 (en) * 2015-11-02 2017-07-11 International Business Machines Corporation Method for defining alias sets
US10180905B1 (en) 2016-04-07 2019-01-15 Apple Inc. Unified prefetch circuit for multi-level caches
US9904624B1 (en) 2016-04-07 2018-02-27 Apple Inc. Prefetch throttling in a multi-core system
US10169009B2 (en) 2016-06-01 2019-01-01 International Business Machines Corporation Processor that detects memory aliasing in hardware and assures correct operation when memory aliasing occurs
US10169010B2 (en) 2016-06-01 2019-01-01 International Business Machines Corporation Performing register promotion optimizations in a computer program in regions where memory aliasing may occur and executing the computer program on processor hardware that detects memory aliasing
US9934009B2 (en) 2016-06-01 2018-04-03 International Business Machines Corporation Processor that includes a special store instruction used in regions of a computer program where memory aliasing may occur
US10228921B2 (en) * 2016-06-01 2019-03-12 International Business Machines Corporation Compiler that performs register promotion optimizations in regions of code where memory aliasing may occur
US10230702B1 (en) 2016-08-15 2019-03-12 Bluerisc, Inc. Encrypting content and facilitating legal access to the encrypted content
US10225075B1 (en) 2016-08-15 2019-03-05 Bluerisc, Inc. Transmitting content to promote privacy
US10706144B1 (en) 2016-09-09 2020-07-07 Bluerisc, Inc. Cyber defense with graph theoretical approach
US10216496B2 (en) 2016-09-27 2019-02-26 International Business Machines Corporation Dynamic alias checking with transactional memory
EP3306479A1 (en) 2016-10-06 2018-04-11 Stichting IMEC Nederland Memory structure comprising scratchpad memory
US10331567B1 (en) 2017-02-17 2019-06-25 Apple Inc. Prefetch circuit with global quality factor to reduce aggressiveness in low power modes
GB2560336B (en) 2017-03-07 2020-05-06 Imagination Tech Ltd Address generators for verifying integrated circuit hardware designs for cache memory
US11556162B2 (en) * 2018-03-16 2023-01-17 Advanced Micro Devices, Inc. Per-instruction energy debugging using instruction sampling hardware
US10955900B2 (en) 2018-12-04 2021-03-23 International Business Machines Corporation Speculation throttling for reliability management
US10983794B2 (en) * 2019-06-17 2021-04-20 Intel Corporation Register sharing mechanism
US11169737B2 (en) 2019-08-13 2021-11-09 Micron Technology, Inc. Speculation in memory
US11520866B2 (en) 2019-09-10 2022-12-06 International Business Machines Corporation Controlling processor instruction execution
US11061653B2 (en) * 2019-10-30 2021-07-13 International Business Machines Corporation Dynamic compiling for conditional statements during execution

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4003033A (en) * 1975-12-22 1977-01-11 Honeywell Information Systems, Inc. Architecture for a microprogrammed device controller
US4067059A (en) * 1976-01-29 1978-01-03 Sperry Rand Corporation Shared direct memory access controller
US4079455A (en) * 1976-12-13 1978-03-14 Rca Corporation Microprocessor architecture
US4138720A (en) * 1977-04-04 1979-02-06 Burroughs Corporation Time-shared, multi-phase memory accessing system
US4181942A (en) * 1978-03-31 1980-01-01 International Business Machines Corporation Program branching method and apparatus
US4255785A (en) * 1978-09-25 1981-03-10 Motorola, Inc. Microprocessor having instruction fetch and execution overlap
US4376977A (en) * 1979-08-27 1983-03-15 U.S. Philips Corporation Computer system with scannable program memory
US4382279A (en) * 1978-04-25 1983-05-03 Compagnie Internationale Pour L'informatique Cii-Honeywell Bull (Societe Anonyme) Single chip microprocessor with on-chip modifiable memory
US4434461A (en) * 1980-09-15 1984-02-28 Motorola, Inc. Microprocessor with duplicate registers for processing interrupts
US4435758A (en) * 1980-03-10 1984-03-06 International Business Machines Corporation Method for conditional branch execution in SIMD vector processors
US4450519A (en) * 1980-11-24 1984-05-22 Texas Instruments Incorporated Psuedo-microprogramming in microprocessor in single-chip microprocessor with alternate IR loading from internal or external program memories
US4577282A (en) * 1982-02-22 1986-03-18 Texas Instruments Incorporated Microcomputer system for digital signal processing
US4592013A (en) * 1981-08-21 1986-05-27 International Business Machines Corp. Method and device for addressing a memory
US4649471A (en) * 1983-03-01 1987-03-10 Thomson Components-Mostek Corporation Address-controlled automatic bus arbitration and address modification
US4665495A (en) * 1984-07-23 1987-05-12 Texas Instruments Incorporated Single chip dram controller and CRT controller
US4720812A (en) * 1984-05-30 1988-01-19 Racal-Milgo, Inc. High speed program store with bootstrap
US4803621A (en) * 1986-07-24 1989-02-07 Sun Microsystems, Inc. Memory access system
US4931986A (en) * 1989-03-03 1990-06-05 Ncr Corporation Computer system clock generator for generating tuned multiple clock signals
US4992933A (en) * 1986-10-27 1991-02-12 International Business Machines Corporation SIMD array processor with global instruction control and reprogrammable instruction decoders
US5021993A (en) * 1987-03-31 1991-06-04 Kabushiki Kaisha Toshiba Device for saving and restoring register information
US5111389A (en) * 1987-10-29 1992-05-05 International Business Machines Corporation Aperiodic mapping system using power-of-two stride access to interleaved devices
US5121498A (en) * 1988-05-11 1992-06-09 Massachusetts Institute Of Technology Translator for translating source code for selective unrolling of loops in the source code
US5127091A (en) * 1989-01-13 1992-06-30 International Business Machines Corporation System for reducing delay in instruction execution by executing branch instructions in separate processor while dispatching subsequent instructions to primary processor
US5193202A (en) * 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
US5224214A (en) * 1990-04-12 1993-06-29 Digital Equipment Corp. BuIffet for gathering write requests and resolving read conflicts by matching read and write requests
US5276895A (en) * 1986-09-18 1994-01-04 Digital Equipment Corporation Massively parallel array processing system
US5410669A (en) * 1993-04-05 1995-04-25 Motorola, Inc. Data processor having a cache memory capable of being used as a linear ram bank
US5481684A (en) * 1994-01-11 1996-01-02 Exponential Technology, Inc. Emulating operating system calls in an alternate instruction set using a modified code segment descriptor
US5481693A (en) * 1994-07-20 1996-01-02 Exponential Technology, Inc. Shared register architecture for a dual-instruction-set CPU
US5497478A (en) * 1991-03-20 1996-03-05 Hewlett-Packard Company Memory access system and method modifying a memory interleaving scheme so that data can be read in any sequence without inserting wait cycles
US5524223A (en) * 1994-01-31 1996-06-04 Motorola, Inc. Instruction accelerator for processing loop instructions with address generator using multiple stored increment values
US5598546A (en) * 1994-08-31 1997-01-28 Exponential Technology, Inc. Dual-architecture super-scalar pipeline
US5604913A (en) * 1993-08-10 1997-02-18 Fujitsu Limited Vector processor having a mask register used for performing nested conditional instructions
US5608886A (en) * 1994-08-31 1997-03-04 Exponential Technology, Inc. Block-based branch prediction using a target finder array storing target sub-addresses
US5630143A (en) * 1992-03-27 1997-05-13 Cyrix Corporation Microprocessor with externally controllable power management
US5637932A (en) * 1990-11-27 1997-06-10 Hitachi, Ltd. Power consumption control system
US5638525A (en) * 1995-02-10 1997-06-10 Intel Corporation Processor capable of executing programs that contain RISC and CISC instructions
US5721893A (en) * 1996-05-14 1998-02-24 Hewlett-Packard Company Exploiting untagged branch prediction cache by relocating branches
US5727229A (en) * 1996-02-05 1998-03-10 Motorola, Inc. Method and apparatus for moving data in a parallel processor
US5737572A (en) * 1995-06-06 1998-04-07 Apple Computer, Inc. Bank selection logic for memory controllers
US5737749A (en) * 1996-05-20 1998-04-07 International Business Machines Corporation Method and system for dynamically sharing cache capacity in a microprocessor
US5742804A (en) * 1996-07-24 1998-04-21 Institute For The Development Of Emerging Architectures, L.L.C. Instruction prefetch mechanism utilizing a branch predict instruction
US5752068A (en) * 1994-08-23 1998-05-12 Massachusetts Institute Of Technology Mesh parallel computer architecture apparatus and associated methods
US5758112A (en) * 1994-10-14 1998-05-26 Silicon Graphics, Inc. Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a branch misprediction
US5758176A (en) * 1994-09-28 1998-05-26 International Business Machines Corporation Method and system for providing a single-instruction, multiple-data execution unit for performing single-instruction, multiple-data operations within a superscalar data processing system
US5857104A (en) * 1996-11-26 1999-01-05 Hewlett-Packard Company Synthetic dynamic branch prediction
US5864707A (en) * 1995-12-11 1999-01-26 Advanced Micro Devices, Inc. Superscalar microprocessor configured to predict return addresses from a return stack storage
US5864697A (en) * 1996-06-28 1999-01-26 Texas Instruments Incorporated Microprocessor using combined actual and speculative branch history prediction
US5870581A (en) * 1996-12-20 1999-02-09 Oak Technology, Inc. Method and apparatus for performing concurrent write operations to a single-write-input register file and an accumulator register
US5872987A (en) * 1992-08-07 1999-02-16 Thinking Machines Corporation Massively parallel computer including auxiliary vector processor
US5875464A (en) * 1991-12-10 1999-02-23 International Business Machines Corporation Computer system with private and shared partitions in cache
US5875324A (en) * 1995-06-07 1999-02-23 Advanced Micro Devices, Inc. Superscalar microprocessor which delays update of branch prediction information in response to branch misprediction until a subsequent idle clock
US5884057A (en) * 1994-01-11 1999-03-16 Exponential Technology, Inc. Temporal re-alignment of a floating point pipeline to an integer pipeline for emulation of a load-operate architecture on a load/store processor
US5887166A (en) * 1996-12-16 1999-03-23 International Business Machines Corporation Method and system for constructing a program including a navigation instruction
US5903750A (en) * 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US6021484A (en) * 1997-11-14 2000-02-01 Samsung Electronics Co., Ltd. Dual instruction set architecture
US6044469A (en) * 1997-08-29 2000-03-28 Preview Software Software publisher or distributor configurable software security mechanism
US6049330A (en) * 1997-08-28 2000-04-11 Oak Technology, Inc. Method and apparatus for optimizing storage of compressed images in memory
US6052703A (en) * 1998-05-12 2000-04-18 Oak Technology, Inc. Method and apparatus for determining discrete cosine transforms using matrix multiplication and modified booth encoding
US6058469A (en) * 1995-04-17 2000-05-02 Ricoh Corporation System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US6067622A (en) * 1996-01-02 2000-05-23 Moore; Steven Jerome Software security system using remove function to restrict unauthorized duplicating and installation of an application program
US6067609A (en) * 1998-04-09 2000-05-23 Teranex, Inc. Pattern generation and shift plane operations for a mesh connected computer
US6175892B1 (en) * 1998-06-19 2001-01-16 Hitachi America. Ltd. Registers and methods for accessing registers for use in a single instruction multiple data system
US6178498B1 (en) * 1997-12-18 2001-01-23 Idea Corporation Storing predicted branch target address in different storage according to importance hint in branch prediction instruction
US6212542B1 (en) * 1996-12-16 2001-04-03 International Business Machines Corporation Method and system for executing a program within a multiscalar processor by processing linked thread descriptors
US6216223B1 (en) * 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
US6341371B1 (en) * 1999-02-23 2002-01-22 International Business Machines Corporation System and method for optimizing program execution in a computer system
US6381668B1 (en) * 1997-03-21 2002-04-30 International Business Machines Corporation Address mapping for system memory
US6385720B1 (en) * 1997-07-14 2002-05-07 Matsushita Electric Industrial Co., Ltd. Branch prediction method and processor using origin information, relative position information and history information
US6393520B2 (en) * 1997-04-17 2002-05-21 Matsushita Electric Industrial Co., Ltd. Data processor and data processing system with internal memories
US20030014742A1 (en) * 2001-07-09 2003-01-16 Sasken Communication Technologies Limited Technique for compiling computer code to reduce energy consumption while executing the code
US20030041230A1 (en) * 1998-12-30 2003-02-27 Lihu Rappoport Method and system for branch target prediction using path information
US6529943B1 (en) * 1998-04-24 2003-03-04 Canon Kabushiki Kaisha Server, client, client server system, method for controlling them and storage medium therefor
US6539543B1 (en) * 1999-11-29 2003-03-25 Adelante Technologies, Nv Method and apparatus for compiling source code by flattening hierarchies
US20030066061A1 (en) * 2001-09-29 2003-04-03 Youfeng Wu Method and apparatus for performing compiler transformation of software code using fastforward regions and value specialization
US6550004B1 (en) * 1999-11-05 2003-04-15 Ip-First, Llc Hybrid branch predictor with improved selector table update mechanism
US6560776B1 (en) * 2000-02-18 2003-05-06 Avaya Technology Corp. Software installation verification tool
US6571331B2 (en) * 1999-03-18 2003-05-27 Ip-First, Llc Static branch prediction mechanism for conditional branch instructions
US6675305B1 (en) * 2000-08-04 2004-01-06 Synopsys, Inc. Power saving in a USB peripheral by providing gated clock signal to CSR block in response to a local interrupt generated when an operation is to be performed
US20040010782A1 (en) * 2002-07-09 2004-01-15 Moritz Csaba Andras Statically speculative compilation and execution
US20040010783A1 (en) * 2002-07-09 2004-01-15 Moritz Csaba Andras Reducing processor energy consumption using compile-time information
US20040010679A1 (en) * 2002-07-09 2004-01-15 Moritz Csaba Andras Reducing processor energy consumption by controlling processor resources
US20040015923A1 (en) * 2001-02-16 2004-01-22 Craig Hemsing Apparatus and method to reduce memory footprints in processor architectures
US6687838B2 (en) * 2000-12-07 2004-02-03 Intel Corporation Low-power processor hint, such as from a PAUSE instruction
US6732253B1 (en) * 2000-11-13 2004-05-04 Chipwrights Design, Inc. Loop handling for single instruction multiple datapath processor architectures
US20050055678A1 (en) * 2003-08-28 2005-03-10 Ryuji Sakai Method and apparatus for managing software in computer system using virtual machine
US20050066153A1 (en) * 1998-10-12 2005-03-24 Harshvardhan Sharangpani Method for processing branch operations
US20050108507A1 (en) * 2003-11-17 2005-05-19 Saurabh Chheda Security of program executables and microprocessors based on compiler-arcitecture interaction
US20050114850A1 (en) * 2003-10-29 2005-05-26 Saurabh Chheda Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control
US6988183B1 (en) * 1998-06-26 2006-01-17 Derek Chi-Lan Wong Methods for increasing instruction-level parallelism in microprocessors and digital system
US7036118B1 (en) * 2001-12-20 2006-04-25 Mindspeed Technologies, Inc. System for executing computer programs on a limited-memory computing machine
US7162617B2 (en) * 2003-02-14 2007-01-09 Fine Arc Incorporated Data processor with changeable architecture
US7185215B2 (en) * 2003-02-24 2007-02-27 International Business Machines Corporation Machine code builder derived power consumption reduction
US20080126766A1 (en) * 2006-11-03 2008-05-29 Saurabh Chheda Securing microprocessors against information leakage and physical tampering
US7487340B2 (en) * 2006-06-08 2009-02-03 International Business Machines Corporation Local and global branch prediction information storage
US7676661B1 (en) * 2004-10-05 2010-03-09 Xilinx, Inc. Method and system for function acceleration using custom instructions

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3603934A (en) 1968-07-15 1971-09-07 Ibm Data processing system capable of operation despite a malfunction
US4050058A (en) 1973-12-26 1977-09-20 Xerox Corporation Microprocessor with parallel operation
US4042972A (en) 1974-09-25 1977-08-16 Data General Corporation Microprogram data processing technique and apparatus
US4037090A (en) 1974-11-19 1977-07-19 Texas Instruments Incorporated Multiphase clocking for MOS
CA1059639A (en) 1975-03-26 1979-07-31 Garvin W. Patterson Instruction look ahead having prefetch concurrency and pipe line features
US4090247A (en) 1975-08-11 1978-05-16 Arthur D. Little, Inc. Portable data entry device
US4101960A (en) 1977-03-29 1978-07-18 Burroughs Corporation Scientific processor
US4128873A (en) 1977-09-20 1978-12-05 Burroughs Corporation Structure for an easily testable single chip calculator/controller
JPS5616248A (en) 1979-07-17 1981-02-17 Matsushita Electric Ind Co Ltd Processing system for interruption
US4354228A (en) 1979-12-20 1982-10-12 International Business Machines Corporation Flexible processor on a single semiconductor substrate using a plurality of arrays
US4463421A (en) 1980-11-24 1984-07-31 Texas Instruments Incorporated Serial/parallel input/output bus for microprocessor system
US4403303A (en) 1981-05-15 1983-09-06 Beehive International Terminal configuration manager
US4541045A (en) 1981-09-21 1985-09-10 Racal-Milgo, Inc. Microprocessor architecture employing efficient operand and instruction addressing
US4538239A (en) 1982-02-11 1985-08-27 Texas Instruments Incorporated High-speed multiplier for microcomputer used in digital signal processing system
US4607332A (en) 1983-01-14 1986-08-19 At&T Bell Laboratories Dynamic alteration of firmware programs in Read-Only Memory based systems
US4626988A (en) 1983-03-07 1986-12-02 International Business Machines Corporation Instruction fetch look-aside buffer with loop mode control
US4604695A (en) 1983-09-30 1986-08-05 Honeywell Information Systems Inc. Nibble and word addressable memory arrangement
EP0148478B1 (en) 1983-12-23 1989-08-09 Hitachi, Ltd. A data processor with control of the significant bit lenghts of general purpose registers
US4777591A (en) 1984-01-03 1988-10-11 Texas Instruments Incorporated Microprocessor with integrated CPU, RAM, timer, and bus arbiter for data communications systems
US4562537A (en) 1984-04-13 1985-12-31 Texas Instruments Incorporated High speed processor
US4709329A (en) 1984-06-25 1987-11-24 Data General Corporation Input/output device controller for a data processing system
JPH0731603B2 (en) 1984-11-21 1995-04-10 ノビツクス FORTH specific language microprocessor
JPS61175845A (en) 1985-01-31 1986-08-07 Toshiba Corp Microprocessor system
US4713749A (en) 1985-02-12 1987-12-15 Texas Instruments Incorporated Microprocessor with repeat instruction
US4714994A (en) 1985-04-30 1987-12-22 International Business Machines Corp. Instruction prefetch buffer control
US5045995A (en) 1985-06-24 1991-09-03 Vicom Systems, Inc. Selective operation of processing elements in a single instruction multiple data stream (SIMD) computer system
GB2177526B (en) 1985-06-24 1990-02-14 Pixar Selective operation of processing elements in a single instruction, multiple data stream (simd)computer system
US4896258A (en) 1985-07-04 1990-01-23 Hitachi, Ltd. Data processor provided with instructions which refer to both tagged and tagless data
JPS6243764A (en) 1985-08-21 1987-02-25 Nec Corp Bus state control circuit
US4773038A (en) 1986-02-24 1988-09-20 Thinking Machines Corporation Method of simulating additional processors in a SIMD parallel processor array
EP0238090B1 (en) 1986-03-20 1997-02-05 Nec Corporation Microcomputer capable of accessing internal memory at a desired variable access time
US4787032A (en) 1986-09-08 1988-11-22 Compaq Computer Corporation Priority arbitration circuit for processor access
US5230079A (en) 1986-09-18 1993-07-20 Digital Equipment Corporation Massively parallel array processing system with processors selectively accessing memory module locations using address in microword or in address register
US4873626A (en) 1986-12-17 1989-10-10 Massachusetts Institute Of Technology Parallel processing system with processor array having memory system included in system memory
GB2201015B (en) 1987-02-10 1990-10-10 Univ Southampton Parallel processor array and array element
US5038282A (en) 1988-05-11 1991-08-06 Massachusetts Institute Of Technology Synchronous processor with simultaneous instruction processing and data transfer
JP2595314B2 (en) 1988-06-30 1997-04-02 三菱電機株式会社 IC card with erroneous writing prevention function
US5136697A (en) 1989-06-06 1992-08-04 Advanced Micro Devices, Inc. System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information stored with each block of instructions in cache
US5440749A (en) 1989-08-03 1995-08-08 Nanotronics Corporation High performance, low cost microprocessor architecture
US5157785A (en) 1990-05-29 1992-10-20 Wavetracer, Inc. Process cell for an n-dimensional processor array having a single input element with 2n data inputs, memory, and full function arithmetic logic unit
CA2045790A1 (en) 1990-06-29 1991-12-30 Richard Lee Sites Branch prediction in high-performance processor
US5361363A (en) 1990-10-03 1994-11-01 Thinking Machines Corporation Input/output system for parallel computer for performing parallel file transfers between selected number of input/output devices and another selected number of processing nodes
JPH06500655A (en) 1990-10-03 1994-01-20 スィンキング マシンズ コーポレーション parallel computer system
US5361367A (en) 1991-06-10 1994-11-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Highly parallel reconfigurable computer architecture for robotic computation having plural processor cells each having right and left ensembles of plural processors
WO1993008525A2 (en) 1991-10-24 1993-04-29 Intel Corporation Data processing system
US5659778A (en) 1992-02-03 1997-08-19 Tm Patents, L.P. System and method of mapping an array to processing elements
US5551039A (en) 1992-02-03 1996-08-27 Thinking Machines Corporation Compiling a source code vector instruction by generating a subgrid loop for iteratively processing array elements by plural processing elements
US5452401A (en) * 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2642039B2 (en) 1992-05-22 1997-08-20 インターナショナル・ビジネス・マシーンズ・コーポレイション Array processor
US5479624A (en) 1992-10-14 1995-12-26 Lee Research, Inc. High-performance interleaved memory system comprising a prime number of memory modules
US5542074A (en) 1992-10-22 1996-07-30 Maspar Computer Corporation Parallel processor system with highly flexible local control capability, including selective inversion of instruction signal and control of bit shift amount
JPH06162228A (en) 1992-11-26 1994-06-10 Sharp Corp Data flow processor device
GB2273377A (en) 1992-12-11 1994-06-15 Hughes Aircraft Co Multiple masks for array processors
US5696958A (en) 1993-01-11 1997-12-09 Silicon Graphics, Inc. Method and apparatus for reducing delays following the execution of a branch instruction in an instruction pipeline
EP0615190A1 (en) 1993-03-11 1994-09-14 Data General Corporation Expandable memory for a digital computer
US5454117A (en) * 1993-08-25 1995-09-26 Nexgen, Inc. Configurable branch prediction for a processor performing speculative execution
EP1338957A3 (en) * 1993-11-05 2003-10-29 Intergraph Corporation Software scheduled superscalar computer architecture
JP3415693B2 (en) 1993-12-23 2003-06-09 ノキア モービル フォーンズ リミテッド Interleaving process
US5781750A (en) 1994-01-11 1998-07-14 Exponential Technology, Inc. Dual-instruction-set architecture CPU with hidden software emulation mode
US5542059A (en) 1994-01-11 1996-07-30 Exponential Technology, Inc. Dual instruction set processor having a pipeline with a pipestage functional unit that is relocatable in time and sequence order
DE69505717T2 (en) 1994-03-08 1999-06-24 Digital Equipment Corp Method and apparatus for determining and making cross-routine subroutine calls
US5590352A (en) 1994-04-26 1996-12-31 Advanced Micro Devices, Inc. Dependency checking and forwarding of variable width operands
US5659722A (en) 1994-04-28 1997-08-19 International Business Machines Corporation Multiple condition code branching system in a multi-processor environment
EP0681236B1 (en) 1994-05-05 2000-11-22 Conexant Systems, Inc. Space vector data path
US5579520A (en) * 1994-05-13 1996-11-26 Borland International, Inc. System and methods for optimizing compiled code according to code object participation in program activities
US5812811A (en) 1995-02-03 1998-09-22 International Business Machines Corporation Executing speculative parallel instructions threads with forking and inter-thread communication
US5933860A (en) 1995-02-10 1999-08-03 Digital Equipment Corporation Multiprobe instruction cache with instruction-based probe hint generation and training whereby the cache bank or way to be accessed next is predicted
JPH08249306A (en) 1995-03-09 1996-09-27 Sharp Corp Data driven type information processor
US5655122A (en) * 1995-04-05 1997-08-05 Sequent Computer Systems, Inc. Optimizing compiler with static prediction of branch probability, branch frequency and function frequency
US5774685A (en) * 1995-04-21 1998-06-30 International Business Machines Corporation Method and apparatus for biasing cache LRU for prefetched instructions/data based upon evaluation of speculative conditions
US5704053A (en) 1995-05-18 1997-12-30 Hewlett-Packard Company Efficient explicit data prefetching analysis and code generation in a low-level optimizer for inserting prefetch instructions into loops of applications
US5774686A (en) 1995-06-07 1998-06-30 Intel Corporation Method and apparatus for providing two system architectures in a processor
JP3520611B2 (en) * 1995-07-06 2004-04-19 株式会社日立製作所 Processor control method
US6006328A (en) 1995-07-14 1999-12-21 Christopher N. Drake Computer software authentication, protection, and security system
US5652894A (en) * 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5638533A (en) 1995-10-12 1997-06-10 Lsi Logic Corporation Method and apparatus for providing data to a parallel processing array
US6292879B1 (en) 1995-10-25 2001-09-18 Anthony S. Fong Method and apparatus to specify access control list and cache enabling and cache coherency requirement enabling on individual operands of an instruction of a computer
US5930490A (en) 1996-01-02 1999-07-27 Advanced Micro Devices, Inc. Microprocessor configured to switch instruction sets upon detection of a plurality of consecutive instructions
US5822606A (en) 1996-01-11 1998-10-13 Morton; Steven G. DSP having a plurality of like processors controlled in parallel by an instruction word, and a control processor also controlled by the instruction word
US5930509A (en) * 1996-01-29 1999-07-27 Digital Equipment Corporation Method and apparatus for performing binary translation
US5664950A (en) 1996-02-13 1997-09-09 Lawrence; Richard J. Hardware mechanism for computer software security
US5835968A (en) * 1996-04-17 1998-11-10 Advanced Micro Devices, Inc. Apparatus for providing memory and register operands concurrently to functional units
US5949995A (en) * 1996-08-02 1999-09-07 Freeman; Jackie Andrew Programmable branch prediction system and method for inserting prediction operation which is independent of execution of program code
US5854934A (en) 1996-08-23 1998-12-29 Hewlett-Packard Company Optimizing compiler having data cache prefetch spreading
US6089460A (en) 1996-09-13 2000-07-18 Nippon Steel Corporation Semiconductor device with security protection function, ciphering and deciphering method thereof, and storage medium for storing software therefor
US5805907A (en) * 1996-10-04 1998-09-08 International Business Machines Corporation System and method for reducing power consumption in an electronic circuit
US5966544A (en) * 1996-11-13 1999-10-12 Intel Corporation Data speculatable processor having reply architecture
US5924117A (en) 1996-12-16 1999-07-13 International Business Machines Corporation Multi-ported and interleaved cache memory supporting multiple simultaneous accesses thereto
US5946222A (en) 1996-12-20 1999-08-31 Oak Technology, Inc. Method and apparatus for performing a masked byte addition operation
US6108775A (en) * 1996-12-30 2000-08-22 Texas Instruments Incorporated Dynamically loadable pattern history tables in a multi-task microprocessor
JPH10254839A (en) 1997-03-11 1998-09-25 Sony Corp Simd controlling parallel processor and arithmetic method
US6286135B1 (en) * 1997-03-26 2001-09-04 Hewlett-Packard Company Cost-sensitive SSA-based strength reduction algorithm for a machine with predication support and segmented addresses
EP0918281A1 (en) * 1997-03-29 1999-05-26 IMEC vzw Method and apparatus for size optimisation of storage units
JPH10289305A (en) 1997-04-11 1998-10-27 Mitsubishi Electric Corp Image processing device and its method
US5996061A (en) * 1997-06-25 1999-11-30 Sun Microsystems, Inc. Method for invalidating data identified by software compiler
WO1999014685A1 (en) 1997-09-16 1999-03-25 Hitachi, Ltd. Data processor and data processing system
US5933650A (en) 1997-10-09 1999-08-03 Mips Technologies, Inc. Alignment and ordering of vector elements for single instruction multiple data processing
US6289505B1 (en) 1997-11-18 2001-09-11 Sun Microsystems, Inc. Method, apparatus and computer programmed product for binary re-optimization using a high level language compiler
US6119205A (en) * 1997-12-22 2000-09-12 Sun Microsystems, Inc. Speculative cache line write backs to avoid hotspots
US6412105B1 (en) * 1997-12-31 2002-06-25 Elbrus International Limited Computer method and apparatus for compilation of multi-way decisions
US6327661B1 (en) 1998-06-03 2001-12-04 Cryptography Research, Inc. Using unpredictable information to minimize leakage from smartcards and other cryptosystems
US6272676B1 (en) * 1998-01-13 2001-08-07 Intel Corporation Method and apparatus for finding loop— lever parallelism in a pointer based application
US6374349B2 (en) * 1998-03-19 2002-04-16 Mcfarling Scott Branch predictor with serially connected predictor stages for improving branch prediction accuracy
JPH11272546A (en) 1998-03-23 1999-10-08 Nec Corp Variable length register device
US6130631A (en) 1998-05-11 2000-10-10 Oak Technology, Inc. Method and apparatus utilizing a simplified content-addressable memory for JPEG decoding
US6121905A (en) 1998-05-11 2000-09-19 Oak Technology, Inc. Method and apparatus for decoding JPEG symbols
US6105139A (en) * 1998-06-03 2000-08-15 Nec Usa, Inc. Controller-based power management for low-power sequential circuits
US6334175B1 (en) * 1998-07-22 2001-12-25 Ati Technologies, Inc. Switchable memory system and memory allocation method
US6301705B1 (en) * 1998-10-01 2001-10-09 Institute For The Development Of Emerging Architectures, L.L.C. System and method for deferring exceptions generated during speculative execution
EP0992916A1 (en) * 1998-10-06 2000-04-12 Texas Instruments Inc. Digital signal processor
US6272512B1 (en) 1998-10-12 2001-08-07 Intel Corporation Data manipulation instruction for enhancing value and efficiency of complex arithmetic
GB9825102D0 (en) 1998-11-16 1999-01-13 Insignia Solutions Plc Computer system
JP3297389B2 (en) * 1998-12-07 2002-07-02 インターナショナル・ビジネス・マシーンズ・コーポレーション Power consumption control method and electric equipment
US6430674B1 (en) 1998-12-30 2002-08-06 Intel Corporation Processor executing plural instruction sets (ISA's) with ability to have plural ISA's in different pipeline stages at same time
US6487640B1 (en) * 1999-01-19 2002-11-26 International Business Machines Corporation Memory access request reordering to reduce memory access latency
US6282628B1 (en) 1999-02-24 2001-08-28 International Business Machines Corporation Method and system for a result code for a single-instruction multiple-data predicate compare operation
US7024393B1 (en) 1999-03-27 2006-04-04 Microsoft Corporation Structural of digital rights management (DRM) system
US6477646B1 (en) 1999-07-08 2002-11-05 Broadcom Corporation Security chip architecture and implementations for cryptography acceleration
US7430670B1 (en) 1999-07-29 2008-09-30 Intertrust Technologies Corp. Software self-defense systems and methods
US6446197B1 (en) * 1999-10-01 2002-09-03 Hitachi, Ltd. Two modes for executing branch instructions of different lengths and use of branch control instruction and register set loaded with target instructions
AU5075301A (en) 1999-10-26 2001-07-03 Arthur D. Little, Inc. Mimd arrangement of simd machines
US6502188B1 (en) * 1999-11-16 2002-12-31 Advanced Micro Devices, Inc. Dynamic classification of conditional branches in global history branch prediction
DE69937611T2 (en) * 1999-12-06 2008-10-23 Texas Instruments Inc., Dallas Intelligent buffer memory
US6625740B1 (en) * 2000-01-13 2003-09-23 Cirrus Logic, Inc. Dynamically activating and deactivating selected circuit blocks of a data processing integrated circuit during execution of instructions according to power code bits appended to selected instructions
US7124286B2 (en) * 2000-01-14 2006-10-17 Advanced Micro Devices, Inc. Establishing an operating mode in a processor
US6452864B1 (en) 2000-01-31 2002-09-17 Stmicroelectonics S.R.L. Interleaved memory device for sequential access synchronous reading with simplified address counters
US6282623B1 (en) 2000-02-04 2001-08-28 Motorola Inc. Method for digital signal processing, DSP, mobile communication and audi o-device
WO2001065366A1 (en) 2000-03-02 2001-09-07 Alarity Corporation System and method for process protection
EP1130517B1 (en) 2000-03-02 2004-05-26 STMicroelectronics S.r.l. Redundancy architecture for an interleaved memory
US6446181B1 (en) * 2000-03-31 2002-09-03 Intel Corporation System having a configurable cache/SRAM memory
JP2002007359A (en) 2000-06-21 2002-01-11 Sony Corp Method and device for parallel processing simd control
AU2002227451A1 (en) 2000-11-10 2002-05-21 Chipwrights Design, Inc. Method and apparatus for reducing branch latency
TWI236622B (en) 2000-11-28 2005-07-21 Chipwrights Design Inc Handling conditional processing in a single instruction multiple datapath processor architecture
US6931518B1 (en) 2000-11-28 2005-08-16 Chipwrights Design, Inc. Branching around conditional processing if states of all single instruction multiple datapaths are disabled and the computer program is non-deterministic
US20020073301A1 (en) 2000-12-07 2002-06-13 International Business Machines Corporation Hardware for use with compiler generated branch information
CA2327911A1 (en) 2000-12-08 2002-06-08 Cloakware Corporation Obscuring functions in computer software
US6813693B2 (en) * 2000-12-11 2004-11-02 Microsoft Corporation System and method for the discovery and use of repetitively accessed data
JP3681647B2 (en) * 2001-02-21 2005-08-10 株式会社半導体理工学研究センター Cache memory system device
US6643739B2 (en) * 2001-03-13 2003-11-04 Koninklijke Philips Electronics N.V. Cache way prediction based on instruction base register
US20040205740A1 (en) * 2001-03-29 2004-10-14 Lavery Daniel M. Method for collection of memory reference information and memory disambiguation
US6795781B2 (en) * 2002-06-27 2004-09-21 Intel Corporation Method and apparatus for compiler assisted power management
US7467377B2 (en) 2002-10-22 2008-12-16 Intel Corporation Methods and apparatus for compiler managed first cache bypassing
KR20050085281A (en) 2002-12-04 2005-08-29 코닌클리즈케 필립스 일렉트로닉스 엔.브이. Software-based control of microprocessor power dissipation
US20040154010A1 (en) 2003-01-31 2004-08-05 Pedro Marcuello Control-quasi-independent-points guided speculative multithreading
US7299500B1 (en) 2003-07-17 2007-11-20 Copyright Clearance Center, Inc. Method and apparatus for secure delivery and rights management of digital content at an unsecure site
US7089594B2 (en) 2003-07-21 2006-08-08 July Systems, Inc. Application rights management in a mobile environment
US7293164B2 (en) * 2004-01-14 2007-11-06 International Business Machines Corporation Autonomic method and apparatus for counting branch instructions to generate branch statistics meant to improve branch predictions
US8607209B2 (en) * 2004-02-04 2013-12-10 Bluerisc Inc. Energy-focused compiler-assisted branch prediction
KR101254209B1 (en) 2004-03-22 2013-04-23 삼성전자주식회사 Apparatus and method for moving and copying right objects between device and portable storage device
US7664109B2 (en) 2004-09-03 2010-02-16 Microsoft Corporation System and method for distributed streaming of scalable media
US7702927B2 (en) 2004-11-12 2010-04-20 Verayo, Inc. Securely field configurable device
US7600265B2 (en) 2005-03-09 2009-10-06 Nokia Corporation System and method for applying an OMA DRM permission model to JAVA MIDP applications
US7613921B2 (en) 2005-05-13 2009-11-03 Intel Corporation Method and apparatus for remotely provisioning software-based security coprocessors
US20070294181A1 (en) * 2006-05-22 2007-12-20 Saurabh Chheda Flexible digital rights management with secure snippets

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4003033A (en) * 1975-12-22 1977-01-11 Honeywell Information Systems, Inc. Architecture for a microprogrammed device controller
US4067059A (en) * 1976-01-29 1978-01-03 Sperry Rand Corporation Shared direct memory access controller
US4079455A (en) * 1976-12-13 1978-03-14 Rca Corporation Microprocessor architecture
US4138720A (en) * 1977-04-04 1979-02-06 Burroughs Corporation Time-shared, multi-phase memory accessing system
US4181942A (en) * 1978-03-31 1980-01-01 International Business Machines Corporation Program branching method and apparatus
US4382279A (en) * 1978-04-25 1983-05-03 Compagnie Internationale Pour L'informatique Cii-Honeywell Bull (Societe Anonyme) Single chip microprocessor with on-chip modifiable memory
US4255785A (en) * 1978-09-25 1981-03-10 Motorola, Inc. Microprocessor having instruction fetch and execution overlap
US4376977A (en) * 1979-08-27 1983-03-15 U.S. Philips Corporation Computer system with scannable program memory
US4435758A (en) * 1980-03-10 1984-03-06 International Business Machines Corporation Method for conditional branch execution in SIMD vector processors
US4434461A (en) * 1980-09-15 1984-02-28 Motorola, Inc. Microprocessor with duplicate registers for processing interrupts
US4450519A (en) * 1980-11-24 1984-05-22 Texas Instruments Incorporated Psuedo-microprogramming in microprocessor in single-chip microprocessor with alternate IR loading from internal or external program memories
US4592013A (en) * 1981-08-21 1986-05-27 International Business Machines Corp. Method and device for addressing a memory
US4577282A (en) * 1982-02-22 1986-03-18 Texas Instruments Incorporated Microcomputer system for digital signal processing
US4649471A (en) * 1983-03-01 1987-03-10 Thomson Components-Mostek Corporation Address-controlled automatic bus arbitration and address modification
US4720812A (en) * 1984-05-30 1988-01-19 Racal-Milgo, Inc. High speed program store with bootstrap
US4665495A (en) * 1984-07-23 1987-05-12 Texas Instruments Incorporated Single chip dram controller and CRT controller
US4803621A (en) * 1986-07-24 1989-02-07 Sun Microsystems, Inc. Memory access system
US5276895A (en) * 1986-09-18 1994-01-04 Digital Equipment Corporation Massively parallel array processing system
US4992933A (en) * 1986-10-27 1991-02-12 International Business Machines Corporation SIMD array processor with global instruction control and reprogrammable instruction decoders
US5021993A (en) * 1987-03-31 1991-06-04 Kabushiki Kaisha Toshiba Device for saving and restoring register information
US5111389A (en) * 1987-10-29 1992-05-05 International Business Machines Corporation Aperiodic mapping system using power-of-two stride access to interleaved devices
US5121498A (en) * 1988-05-11 1992-06-09 Massachusetts Institute Of Technology Translator for translating source code for selective unrolling of loops in the source code
US5127091A (en) * 1989-01-13 1992-06-30 International Business Machines Corporation System for reducing delay in instruction execution by executing branch instructions in separate processor while dispatching subsequent instructions to primary processor
US4931986A (en) * 1989-03-03 1990-06-05 Ncr Corporation Computer system clock generator for generating tuned multiple clock signals
US5224214A (en) * 1990-04-12 1993-06-29 Digital Equipment Corp. BuIffet for gathering write requests and resolving read conflicts by matching read and write requests
US5193202A (en) * 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
US5637932A (en) * 1990-11-27 1997-06-10 Hitachi, Ltd. Power consumption control system
US5497478A (en) * 1991-03-20 1996-03-05 Hewlett-Packard Company Memory access system and method modifying a memory interleaving scheme so that data can be read in any sequence without inserting wait cycles
US5875464A (en) * 1991-12-10 1999-02-23 International Business Machines Corporation Computer system with private and shared partitions in cache
US5630143A (en) * 1992-03-27 1997-05-13 Cyrix Corporation Microprocessor with externally controllable power management
US5872987A (en) * 1992-08-07 1999-02-16 Thinking Machines Corporation Massively parallel computer including auxiliary vector processor
US5410669A (en) * 1993-04-05 1995-04-25 Motorola, Inc. Data processor having a cache memory capable of being used as a linear ram bank
US5604913A (en) * 1993-08-10 1997-02-18 Fujitsu Limited Vector processor having a mask register used for performing nested conditional instructions
US5481684A (en) * 1994-01-11 1996-01-02 Exponential Technology, Inc. Emulating operating system calls in an alternate instruction set using a modified code segment descriptor
US5884057A (en) * 1994-01-11 1999-03-16 Exponential Technology, Inc. Temporal re-alignment of a floating point pipeline to an integer pipeline for emulation of a load-operate architecture on a load/store processor
US5524223A (en) * 1994-01-31 1996-06-04 Motorola, Inc. Instruction accelerator for processing loop instructions with address generator using multiple stored increment values
US5481693A (en) * 1994-07-20 1996-01-02 Exponential Technology, Inc. Shared register architecture for a dual-instruction-set CPU
US5752068A (en) * 1994-08-23 1998-05-12 Massachusetts Institute Of Technology Mesh parallel computer architecture apparatus and associated methods
US5598546A (en) * 1994-08-31 1997-01-28 Exponential Technology, Inc. Dual-architecture super-scalar pipeline
US5608886A (en) * 1994-08-31 1997-03-04 Exponential Technology, Inc. Block-based branch prediction using a target finder array storing target sub-addresses
US5758176A (en) * 1994-09-28 1998-05-26 International Business Machines Corporation Method and system for providing a single-instruction, multiple-data execution unit for performing single-instruction, multiple-data operations within a superscalar data processing system
US5758112A (en) * 1994-10-14 1998-05-26 Silicon Graphics, Inc. Pipeline processor with enhanced method and apparatus for restoring register-renaming information in the event of a branch misprediction
US5638525A (en) * 1995-02-10 1997-06-10 Intel Corporation Processor capable of executing programs that contain RISC and CISC instructions
US6058469A (en) * 1995-04-17 2000-05-02 Ricoh Corporation System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US5737572A (en) * 1995-06-06 1998-04-07 Apple Computer, Inc. Bank selection logic for memory controllers
US5875324A (en) * 1995-06-07 1999-02-23 Advanced Micro Devices, Inc. Superscalar microprocessor which delays update of branch prediction information in response to branch misprediction until a subsequent idle clock
US5864707A (en) * 1995-12-11 1999-01-26 Advanced Micro Devices, Inc. Superscalar microprocessor configured to predict return addresses from a return stack storage
US6067622A (en) * 1996-01-02 2000-05-23 Moore; Steven Jerome Software security system using remove function to restrict unauthorized duplicating and installation of an application program
US5727229A (en) * 1996-02-05 1998-03-10 Motorola, Inc. Method and apparatus for moving data in a parallel processor
US5721893A (en) * 1996-05-14 1998-02-24 Hewlett-Packard Company Exploiting untagged branch prediction cache by relocating branches
US5737749A (en) * 1996-05-20 1998-04-07 International Business Machines Corporation Method and system for dynamically sharing cache capacity in a microprocessor
US5864697A (en) * 1996-06-28 1999-01-26 Texas Instruments Incorporated Microprocessor using combined actual and speculative branch history prediction
US5742804A (en) * 1996-07-24 1998-04-21 Institute For The Development Of Emerging Architectures, L.L.C. Instruction prefetch mechanism utilizing a branch predict instruction
US5903750A (en) * 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US5857104A (en) * 1996-11-26 1999-01-05 Hewlett-Packard Company Synthetic dynamic branch prediction
US5887166A (en) * 1996-12-16 1999-03-23 International Business Machines Corporation Method and system for constructing a program including a navigation instruction
US6212542B1 (en) * 1996-12-16 2001-04-03 International Business Machines Corporation Method and system for executing a program within a multiscalar processor by processing linked thread descriptors
US5870581A (en) * 1996-12-20 1999-02-09 Oak Technology, Inc. Method and apparatus for performing concurrent write operations to a single-write-input register file and an accumulator register
US6381668B1 (en) * 1997-03-21 2002-04-30 International Business Machines Corporation Address mapping for system memory
US6393520B2 (en) * 1997-04-17 2002-05-21 Matsushita Electric Industrial Co., Ltd. Data processor and data processing system with internal memories
US6385720B1 (en) * 1997-07-14 2002-05-07 Matsushita Electric Industrial Co., Ltd. Branch prediction method and processor using origin information, relative position information and history information
US6211864B1 (en) * 1997-08-28 2001-04-03 Oak Technology, Inc. Method and apparatus for optimizing storage of compressed images in memory
US6049330A (en) * 1997-08-28 2000-04-11 Oak Technology, Inc. Method and apparatus for optimizing storage of compressed images in memory
US6044469A (en) * 1997-08-29 2000-03-28 Preview Software Software publisher or distributor configurable software security mechanism
US6021484A (en) * 1997-11-14 2000-02-01 Samsung Electronics Co., Ltd. Dual instruction set architecture
US6178498B1 (en) * 1997-12-18 2001-01-23 Idea Corporation Storing predicted branch target address in different storage according to importance hint in branch prediction instruction
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
US6216223B1 (en) * 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6067609A (en) * 1998-04-09 2000-05-23 Teranex, Inc. Pattern generation and shift plane operations for a mesh connected computer
US6529943B1 (en) * 1998-04-24 2003-03-04 Canon Kabushiki Kaisha Server, client, client server system, method for controlling them and storage medium therefor
US6052703A (en) * 1998-05-12 2000-04-18 Oak Technology, Inc. Method and apparatus for determining discrete cosine transforms using matrix multiplication and modified booth encoding
US6175892B1 (en) * 1998-06-19 2001-01-16 Hitachi America. Ltd. Registers and methods for accessing registers for use in a single instruction multiple data system
US6988183B1 (en) * 1998-06-26 2006-01-17 Derek Chi-Lan Wong Methods for increasing instruction-level parallelism in microprocessors and digital system
US20050066153A1 (en) * 1998-10-12 2005-03-24 Harshvardhan Sharangpani Method for processing branch operations
US20030041230A1 (en) * 1998-12-30 2003-02-27 Lihu Rappoport Method and system for branch target prediction using path information
US6341371B1 (en) * 1999-02-23 2002-01-22 International Business Machines Corporation System and method for optimizing program execution in a computer system
US6571331B2 (en) * 1999-03-18 2003-05-27 Ip-First, Llc Static branch prediction mechanism for conditional branch instructions
US6550004B1 (en) * 1999-11-05 2003-04-15 Ip-First, Llc Hybrid branch predictor with improved selector table update mechanism
US6539543B1 (en) * 1999-11-29 2003-03-25 Adelante Technologies, Nv Method and apparatus for compiling source code by flattening hierarchies
US6560776B1 (en) * 2000-02-18 2003-05-06 Avaya Technology Corp. Software installation verification tool
US6675305B1 (en) * 2000-08-04 2004-01-06 Synopsys, Inc. Power saving in a USB peripheral by providing gated clock signal to CSR block in response to a local interrupt generated when an operation is to be performed
US6732253B1 (en) * 2000-11-13 2004-05-04 Chipwrights Design, Inc. Loop handling for single instruction multiple datapath processor architectures
US6687838B2 (en) * 2000-12-07 2004-02-03 Intel Corporation Low-power processor hint, such as from a PAUSE instruction
US20040015923A1 (en) * 2001-02-16 2004-01-22 Craig Hemsing Apparatus and method to reduce memory footprints in processor architectures
US20030014742A1 (en) * 2001-07-09 2003-01-16 Sasken Communication Technologies Limited Technique for compiling computer code to reduce energy consumption while executing the code
US20030066061A1 (en) * 2001-09-29 2003-04-03 Youfeng Wu Method and apparatus for performing compiler transformation of software code using fastforward regions and value specialization
US7036118B1 (en) * 2001-12-20 2006-04-25 Mindspeed Technologies, Inc. System for executing computer programs on a limited-memory computing machine
US20040010679A1 (en) * 2002-07-09 2004-01-15 Moritz Csaba Andras Reducing processor energy consumption by controlling processor resources
US20040010783A1 (en) * 2002-07-09 2004-01-15 Moritz Csaba Andras Reducing processor energy consumption using compile-time information
US20040010782A1 (en) * 2002-07-09 2004-01-15 Moritz Csaba Andras Statically speculative compilation and execution
US7493607B2 (en) * 2002-07-09 2009-02-17 Bluerisc Inc. Statically speculative compilation and execution
US7162617B2 (en) * 2003-02-14 2007-01-09 Fine Arc Incorporated Data processor with changeable architecture
US7185215B2 (en) * 2003-02-24 2007-02-27 International Business Machines Corporation Machine code builder derived power consumption reduction
US20050055678A1 (en) * 2003-08-28 2005-03-10 Ryuji Sakai Method and apparatus for managing software in computer system using virtual machine
US20050114850A1 (en) * 2003-10-29 2005-05-26 Saurabh Chheda Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control
US20050108507A1 (en) * 2003-11-17 2005-05-19 Saurabh Chheda Security of program executables and microprocessors based on compiler-arcitecture interaction
US7676661B1 (en) * 2004-10-05 2010-03-09 Xilinx, Inc. Method and system for function acceleration using custom instructions
US7487340B2 (en) * 2006-06-08 2009-02-03 International Business Machines Corporation Local and global branch prediction information storage
US20080126766A1 (en) * 2006-11-03 2008-05-29 Saurabh Chheda Securing microprocessors against information leakage and physical tampering

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10101978B2 (en) 2002-07-09 2018-10-16 Iii Holdings 2, Llc Statically speculative compilation and execution
US9235393B2 (en) 2002-07-09 2016-01-12 Iii Holdings 2, Llc Statically speculative compilation and execution
US9569186B2 (en) 2003-10-29 2017-02-14 Iii Holdings 2, Llc Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control
US10248395B2 (en) 2003-10-29 2019-04-02 Iii Holdings 2, Llc Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control
US7996671B2 (en) 2003-11-17 2011-08-09 Bluerisc Inc. Security of program executables and microprocessors based on compiler-architecture interaction
US9582650B2 (en) 2003-11-17 2017-02-28 Bluerisc, Inc. Security of program executables and microprocessors based on compiler-architecture interaction
US9697000B2 (en) 2004-02-04 2017-07-04 Iii Holdings 2, Llc Energy-focused compiler-assisted branch prediction
US8607209B2 (en) 2004-02-04 2013-12-10 Bluerisc Inc. Energy-focused compiler-assisted branch prediction
US10268480B2 (en) 2004-02-04 2019-04-23 Iii Holdings 2, Llc Energy-focused compiler-assisted branch prediction
US9244689B2 (en) 2004-02-04 2016-01-26 Iii Holdings 2, Llc Energy-focused compiler-assisted branch prediction
US10430565B2 (en) 2006-11-03 2019-10-01 Bluerisc, Inc. Securing microprocessors against information leakage and physical tampering
US9069938B2 (en) 2006-11-03 2015-06-30 Bluerisc, Inc. Securing microprocessors against information leakage and physical tampering
US11163857B2 (en) 2006-11-03 2021-11-02 Bluerisc, Inc. Securing microprocessors against information leakage and physical tampering
US9940445B2 (en) 2006-11-03 2018-04-10 Bluerisc, Inc. Securing microprocessors against information leakage and physical tampering
US8434076B2 (en) * 2007-12-12 2013-04-30 Oracle International Corporation Efficient compilation and execution of imperative-query languages
US20090158262A1 (en) * 2007-12-12 2009-06-18 Oracle International Corporation Efficient compilation and execution of imperative-query languages
US8607210B2 (en) * 2010-11-30 2013-12-10 Micron Technology, Inc. Code patching for non-volatile memory
US20120137049A1 (en) * 2010-11-30 2012-05-31 Micron Technology, Inc. Code patching for non-volatile memory
US9038044B2 (en) 2010-11-30 2015-05-19 Micron Technology, Inc. Code patching for non-volatile memory
US8468507B2 (en) * 2011-06-10 2013-06-18 Microsoft Corporation Binding executable code at runtime
US20120317558A1 (en) * 2011-06-10 2012-12-13 Microsoft Corporation Binding executable code at runtime
US8990515B2 (en) 2011-06-14 2015-03-24 Microsoft Technology Licensing, Llc Aliasing buffers
US8875100B2 (en) 2011-06-17 2014-10-28 Microsoft Corporation Pattern analysis and performance accounting
US10237059B2 (en) 2013-06-28 2019-03-19 The Trustees Of Columbia University In The City Of New York Diversified instruction set processing to enhance security

Also Published As

Publication number Publication date
US6970985B2 (en) 2005-11-29
US9235393B2 (en) 2016-01-12
US20110258416A1 (en) 2011-10-20
US20130145132A1 (en) 2013-06-06
US20160085526A1 (en) 2016-03-24
AU2003253804A8 (en) 2004-01-23
US7493607B2 (en) 2009-02-17
WO2004006060A3 (en) 2005-04-21
US20140331030A1 (en) 2014-11-06
US10101978B2 (en) 2018-10-16
AU2003253804A1 (en) 2004-01-23
US20040010782A1 (en) 2004-01-15
US20040010675A1 (en) 2004-01-15
WO2004006060A2 (en) 2004-01-15

Similar Documents

Publication Publication Date Title
US10101978B2 (en) Statically speculative compilation and execution
US10248395B2 (en) Energy-focused re-compilation of executables and hardware mechanisms based on compiler-architecture interaction and compiler-inserted control
Jeon et al. GPU register file virtualization
US7278136B2 (en) Reducing processor energy consumption using compile-time information
Zhou et al. Enhancing memory level parallelism via recovery-free value prediction
EP3028149A2 (en) Software development tool
Wolf et al. Memory system optimization of embedded software
Rokicki et al. Hardware-accelerated dynamic binary translation
US11182298B2 (en) System, apparatus and method for dynamic profiling in a processor
Peterson Architectural and compiler support for effective instruction prefetching: a cooperative approach
Witchel et al. The span cache: Software controlled tag checks and cache line size
Alves Increasing energy efficiency of processor caches via line usage predictors
US20180165200A1 (en) System, apparatus and method for dynamic profiling in a processor
Ashok et al. Cool-mem: Combining statically speculative memory accessing with selective address translation for energy efficiency
Guo Compiler-assisted hardware-based data prefetching for next generation processors
US11693780B2 (en) System, method, and apparatus for enhanced pointer identification and prefetching
Kim et al. Adaptive Compiler Directed Prefetching for EPIC Processors.
Coates A Syntax Directed Imperative Language Microprocessor for Reduced Power Consumption and Improved Performance
Boran Architecting High Performance, Energy Efficient & Secured Multi-Core Systems
Sanchez et al. Redesigning a tagless access buffer to require minimal ISA changes
Wise Configurable Dynamic Hardware Prefetching of Linked Data Structures with a Pointer Cache
Chen Reducing memory penalty by a programmable prefetch engine for on-chip caches
Vedula Leveraging Compiler Alias Analysis To Free Accelerators from Load-Store Queues
Gao Controller in Core: An Adaptive Microarchitectural Model for System-level Optimization
Ganusov Using general-purpose processor cores as prefetching engines in chip multiprocessor architectures

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BLUERISC, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MORITZ, CSABA ANDRAS;REEL/FRAME:033907/0966

Effective date: 20050520

AS Assignment

Owner name: CSABA ANDRAS MORITZ, PHD, MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BLUERISC, INC.;REEL/FRAME:034007/0013

Effective date: 20141021

AS Assignment

Owner name: III HOLDINGS 2, LLC, DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MORITZ, CSABA ANDRAS;REEL/FRAME:034947/0047

Effective date: 20141115