CN100365507C - 用于室温下低压微刻痕和毫微刻痕光刻的模板 - Google Patents

用于室温下低压微刻痕和毫微刻痕光刻的模板 Download PDF

Info

Publication number
CN100365507C
CN100365507C CNB01820435XA CN01820435A CN100365507C CN 100365507 C CN100365507 C CN 100365507C CN B01820435X A CNB01820435X A CN B01820435XA CN 01820435 A CN01820435 A CN 01820435A CN 100365507 C CN100365507 C CN 100365507C
Authority
CN
China
Prior art keywords
template
substrate
light
alignment mark
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB01820435XA
Other languages
English (en)
Other versions
CN1531668A (zh
Inventor
崔炳镇
S·V·斯里尼瓦桑
T·贝利
M·科尔伯恩
C·G·威尔森
J·埃克尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of CN1531668A publication Critical patent/CN1531668A/zh
Application granted granted Critical
Publication of CN100365507C publication Critical patent/CN100365507C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0053Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp

Abstract

本发明公开刻痕光刻的模板、形成和使用该模板的方法,以及模板夹持器装置。一刻痕光刻模板可包括带有在本体表面上的多个下凹的本体。本体可以是对触发光基本透明的材料。多个下凹的至少一部分可形成具有特征尺寸约小于250nm的容貌。一模板可这样形成:获得基本上对于触发光透明的材料和在模板的表面上形成多个下凹。在某些实施例中,一模板还可包括至少一个对齐标志。在某些实施例中,一模板还可包括一间隙检测区域。一刻痕光刻模板可用来在衬底上的光致固化液体内形成一刻痕层。在使用过程中,模板可放置在一模板夹持器内。该模板夹持器可包括一带有构造成接纳模板的开口的本体、一支承板,以及连接到本体上的至少一个压电致动器。在使用中,压电致动器可构造成改变模板的物理尺寸。

Description

用于室温下低压微刻痕和毫微刻痕光刻的模板
技术领域
本发明涉及光刻模板的刻痕,具体来说,涉及用于微光刻和毫微光刻工艺中的光刻模板的刻痕。
背景技术
光刻技术目前被应用于制造大量的微电子器件。然而,这些方法已被认为在分辨率上正在达到其极限。亚微米级的光刻在微电子工业中已属于临界的工艺过程。亚微米级的光刻的使用,使制造商能满足日益增长的对芯片上的越来越小和越来越紧凑的电子部件的要求。可以预计在今后的若干年中,微电子工业将追求结构达到约小于50nm。而且,在光电和磁储存的领域内将出现毫微米级光刻的应用。例如,每平方英寸为1000千兆字节量级的光晶体和高密度模式的磁储存器,要求有毫微米级的光刻。
为了制造低于50nm的结构,光刻工艺可能要求使用非常短的波长的光(例如,大约为13.2nm)。对这些短波长,许多普通的材料可能光学上不透明,因此,成像系统一般必须采用复杂的反射光学器来进行构造。此外,对于这些波长,要获得具有足够输出强度的光源可能很困难。这样的系统可能导致极其复杂的设备,和可能令人却步的昂贵的加工程序。尽管高分辨率的电子束光刻工艺被认为其精度很高,但对于大容量的商业应用却显得速度过慢。
光刻刻痕工艺过程已出现使用包含如其表面上的地形的图形的模板,在衬底上复制高分辨率(低于50nm)的图形的能力。可以认为,刻痕光刻可以是光学光刻的变型,其可在微电子器件,光学器件,MEMS,光电子,用于储存应用的图形的磁介质等的制造中,用于衬底的图形印刷。对于制造诸如微透镜和T-门结构之类的三维结构,刻痕光刻工艺可胜过光学光刻。
对于大规模生产的刻痕光刻,可要求将图形区域互相尽可能近地放置,而不干扰其后的刻痕。这可有效地使衬底上图形化的区域最大化。为了到达该目标,从图形化区域喷出的任何过量的流体的位置应加以很好的限制和重复。这样,个别的部件(包括模板和衬底),流体和任何可影响系统物理性质的其它材料,包括(但不限于)表面能,界面能,哈马克(Hamacker)常数,凡德尔瓦(Van der Waal)力,粘度,密度,不透明性等应适当地精心安排,以适应一重复的过程。因此,要求有一种控制在所要求的图形区域外的过量流体散布的方法,它能便于大规模生产的刻痕光刻。
发明内容
本文所述的诸实施例包括刻痕光刻模板,形成和使用刻痕光刻模板的方法,以及模板夹持器。
在一实施例中,一刻痕光刻模板可以基本上透明,以触发光(例如,紫外线光)。这样的模板可包括一具有一第一表面的本体。模板还可包括在第一表面上的多个下凹。在其它的实施例中,第一表面可以呈大致的平面形,抛物线形或球形。下凹的至少一部分可具有一约小于250nm的特征尺寸。在某些实施例中,模板还可包括在本体上的至少一个对齐标记。在某些实施例中,模板还可包括一间隙检测区域。
在其它的实施例中,本体可全部地或部分地由下列物质制成:硅、二氧化硅、硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、晶体、铟锡氧化物,或诸物的组合。在某些实施例中,本体的至少一部分可由SiOx组成,其中,X小于2。例如,X可约为1.5。
在一实施例中,在第一表面上的多个下凹可包括具有第一深度的第一下凹;具有第二深度的第二下凹。第二深度可大于第一深度。例如,第一深度可小于250nm。除了在第一表面上的多个下凹之外,模板可包括与第一表面相对的第二表面上的至少一个下凹。在一实施例中,诸下凹的至少一部分可具有一沿垂直于第一表面的方向变化的宽度。构造这样的下凹可以来适应一种光固化液的材料性质的变化,所述光固化液可用于刻痕光刻过程中的模板。例如,光固化液可在固化中收缩或膨胀。
在一实施例中,模板可包括形成在本体一部分内的过量流体释放结构。例如,这样的结构可形成在模板的一截口区域中。
在某些实施例中,模板的第一表面的至少一部分可具有在25℃下测得的约为小于40达因/厘米的表面自由能。在某些这样的实施例中,模板的第一表面的部分可具有在25℃下测得的约为小于20达因/厘米的表面自由能。例如,第一表面的至少一部分可具有一表面处理层。表面处理层可包括烷基硅烷、氟烷基硅烷,或氟烷基三氯硅烷和水的反应产物。例如,表面处理层可包括十三烷氟-1,1,2,2-四氢辛基三氯硅烷和水的反应产物。表面处理层可减少在25℃下测得的约为小于40达因/厘米的第一表面的表面自由能,或在某些例子中,约小于20达因/厘米。
在某些实施例中,在模板上的对齐标志可以是基本上透明于触发光线。对齐标志可以是基本上不透明于分析光线。在这样的实施例中,分析光可包括可见光或红外线光。对齐标志可用不同于本体材料的材料制成。例如,对齐材料可包括SiOx,其中,X小于2。例如,X可约为1.5。或者,对齐标志可包括蚀刻在本体表面上的多个线。这些线可构造成大致地扩散触发的光,但产生一在分析光下的可分析标志。
在某些实施例中,模板可具有约小于500nm的平面度。在某些这样的实施例中,模板可具有约小于250nm的平面度。
在某些实施例中,模板可包括在本体的至少一个边缘上的导电涂层或反射涂层。在其它的实施例中,模板可包括连接在本体的至少一个边缘上一镜面。
在一实施例中,模板可包括连接到本体上的一个模板坯。例如,本体可采用一粘结剂粘结到模板坯上。模板坯和粘结剂可基本上透明以便触发光。在某些实施例中,一间隙检测区域可包括至少一个具有已知深度的下凹。间隙检测区域可以是在第一表面或在第二表面。在一实施例中,间隙检测区域可具有约大于100nm的深度。
在一实施例中,通过获得大致透明以致触发光的材料和形成在材料的第一表面上的多个下凹,如上所述的一刻痕光刻模板可得以形成。形成模板的方法还可包括在材料上形成至少一个对齐标志。多个下凹可通过蚀刻材料而形成。多个下凹可用下列工艺过程来形成,其中包括(但不限于)光学光刻、电子束光刻、离子束光刻、X线光刻、远紫外线光刻、扫描探针光刻、聚焦离子束蚀刻、干涉光刻、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子蚀刻,或反应性离子蚀刻。同样地,对齐标志可用下列工艺过程来形成,其中包括(但不限于)光学光刻、电子束光刻、离子束光刻、X线光刻、远紫外线光刻、扫描探针光刻、聚焦离子束蚀刻、干涉光刻、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子蚀刻,或反应性离子蚀刻。例如,在如上所述的某些实施例中,对齐标志可包括形成在模板上的多个线。在另些实施例中,对齐标志可通过在用来形成模板的材料上沉积第二材料而形成。
一种形成刻痕光刻模板的方法还可包括将材料成形为所要求的形状。例如,可将材料成形以对模板提供所要求的尺寸。所要求的尺寸可包括预定的模板尺寸组。在某些实施例中,该方法可包括将材料连接到模板坯上。例如,可使用一粘结剂将材料粘结到模板坯上。
如上所述的一表面处理可应用于模板的第一表面的至少一部分上。在某些实施例中,表面处理层可使用蒸发态反应工艺过程来形成。例如,材料可放置在一反应腔内。该反应腔可被净化。至少一种反应剂化学品可分配入反应腔内。至少一种反应剂化学品被认为可与水反应,以形成在第一表面的至少一部分上的表面处理层。然而,可以预料的是,反应剂化学品可直接地与模板的表面反应,与第一表面上存在的其它化学品反应,或与其本身反应以形成表面处理层。
在某些实施例中,该方法还可包括在材料的至少一个边缘上涂复一反射涂层或一导电涂层。
为了在衬底上形成图形,一模板可放置在一模板夹持器内。模板夹持器可包括一本体,一支承板和至少一个压电晶体致动器。本体可具有一构造成接纳一刻痕光刻模板的开口。本体可构造成连接在一刻痕光刻系统的模板支承上。支承板可被连接到本体上,并可以是基本上透明以触发光。支承板可沿至少一个方向跨越本体上的开口。支承板可由包括(但不限于)晶体、兰宝石和SiO2的材料组成。支承板可构造成抑制因存在于刻痕光刻工艺过程中的力引起的夹持在模板夹持器内的模板的变形。至少一个压电晶体致动器可连接到本体上,并构造成在使用过程中改变刻痕光刻模板的物理尺寸。例如,一个压电晶体致动器可构造成对设置在开口内的模板施加一压缩的或拉伸的力。支承板和/或本体可包括至少一个真空开孔,该开孔构造成对设置在开口内的模板和/或支承板及本体的交界面作用真空。此外,可在本体面对开口内侧的表面上涂复镜面或反射涂层。
一如上所述的刻痕光刻模板可被用于使用图形的模板在衬底上形成一图形的方法。一般来说,在一衬底上形成一图形的方法,可通过在衬底上涂复一光固化液(例如,光刻胶)而得以实现。一刻痕光刻模板放置在涂复光固化液的衬底部分上方。模板和衬底的相对位置可被调整成在图形的模板和衬底之间形成一间隙。触发的光通过模板作用到液体上。然后,模板可从固化的液体上分离。
该方法还可包括确定在图形的模板和衬底之间的对齐。在这种情形中,衬底可包括一衬底对齐标志。模板对齐标志和衬底对齐标志可以是对称的几何形。确定对齐标志的对齐可包括确定衬底和模板对齐标志的中心。对齐标志的中心位置可进行比较以确定对齐标志的对齐。
在第一实施例中,图形的模板和衬底之间的对齐可通过作用一第一波长的光穿过图形的模板而确定。根据一分析工具,第一波长的光可使衬底对齐标志焦点对准,而使模板的对齐标志聚焦不准。然后,一第二波长的光通过图形的模板作用。根据一分析工具,第二波长的光可使模板对齐标志焦点对准,而使衬底的对齐标志聚焦不准。在一第二实施例中,图形的模板和衬底之间的对齐可通过使用偏振光对齐工具来确定。一偏振过滤系统可放置在偏振光对齐工具和模板之间。偏振过滤系统可包括一基本定向在衬底对齐标志上的第一偏振滤光片和一基本定向在模板对齐标志上的第二偏振滤光片。能够通过第一偏振滤光片的偏振光不同于能够通过第二偏振滤光片的偏振光。在一第三实施例中,对齐的确定可使用一莫里(moire)型探测器。在一第四实施例中,模板和衬底之间的对齐的确定可包括对模板作用一分析光。模板可包括至少两个材料,一第一材料和一第二材料。对齐标志可由第二材料制成。第一和第二材料可以是对用来固化液体的触发光基本透明。然而,当分析光作用于模板时,第二材料可产生具有显著对比度的可分析的标志。在一第五实施例中,模板对齐标志可包括多个蚀刻线,它们起作为朝向分析光的衍射光栅。确定图形的模板和衬底之间的对齐可包括对图形的模板作用分析光。模板对齐标志可以基本上对触发光透明,但当分析光作用于模板时,可产生一可分析的标志。
使用一图形模板来形成衬底上的图形的方法还可包括调整图形模板和衬底的重叠放置。调整重叠放置包括移动衬底,以使模板对齐标志基本上与衬底对齐标志对齐。例如,调整重叠放置可包括改变图形模板相对于衬底的角度,或改变图形模板的尺寸。模板的尺寸可通过改变模板的温度,或对模板施加压缩或拉伸力来改变。例如,至少一个压电致动器可连接到图形模板上。该至少一个压电致动器可通过对模板施加力来改变图形模板的尺寸。
触发光固化的液体可通过一流体分配器涂复到衬底的一部分上。通过衬底相对于流体分配器的运动,液体可被分配而形成一预定的图形。预定图形可构造成:当模板接触液体时,抑制在液体内形成气泡。也可选择预定图形,以使液体在基本上与模板的表面积相等的区域内填充间隙。
在一实施例中,以相隔开的关系定位图形模板和衬底,可包括在衬底上定位图形模板,并移动图形模板朝向衬底,直到实现所要求的隔开关系。当图形模板朝向衬底移动时,衬底上的液体基本上填充该间隙。隔开的关系可以是约小于200nm的一距离。在某些实施例中,图形的模板和衬底可以基本平行的定向来定位。在另些实施例中,模板可以基本不平行的位置定位在衬底之上。模板可朝向衬底移动,而相对于衬底保持基本不平行的定向。然后,当模板对于衬底保持一所要求的隔开关系时,模板可定向在基本平行于衬底的方向。
在一实施例中,从固化液体上分离图形的模板,可包括移动模板至一基本上不平行的定向,并移动图形的模板远离衬底。在从固化液体上分离图形的模板之后,固化液可包括约小于250nm尺寸的某些特征。
使用一图形的模板在衬底上形成一图形的方法还可包括确定图形的模板和衬底之间的距离。一光基的测量装置可被用于此目的。该方法可包括对模板和衬底作用光。光可包括多个波长。可监控光从模板和衬底的表面的反射。模板和衬底之间的距离可根据监控的光进行确定。此外,可能产生一误差信号。该误差信号对应于模板和衬底之间的距离与模板和衬底之间已确定的距离两者之间的差值。而且,在3个或更多个非共线的位置上确定模板和衬底之间的距离,可被用来确定模板和衬底是否大致地平行。该种确定也可被用来产生一误差信号,该信号对应于使其成为基本平行结构所要求的模板和衬底之间的相对运动。
衬底可包括(但不限于)绝缘材料:硅、镓、锗、铟、晶体、兰宝石、二氧化硅或多晶硅。衬底可包括一个或多个在衬底表面上的层。在这种情况下,该方法还可包括在衬底表面上的至少一个层的厚度。衬底还可包括形成在衬底表面上的迁移层。在这种情况下,该方法还可包括在从固化液中分离模板之后蚀刻该迁移层。蚀刻迁移层可将图形赋予迁移层。
模板和上述的方法,作为举例可用来形成一半导体器件、一光学器件、一光子器件、一磁储存器件或薄膜头,一显示器件等。
附图说明
参照附图阅读下列详细的描述后,将会对本发明的其它目标和优点更加明白,其中:
图1A和1B示出模板和衬底之间的间隙的截面图;
图2A和2E示出一刻痕光刻工艺过程的截面图;
图3示出表示刻痕光刻工艺过程的诸步骤顺序的流程框图;
图4示出一图形的模板的仰视图;
图5示出一位于衬底上的模板的截面图;
图6示出制造具有多深度的模板的工艺过程的截面图;
图7示出形成一刻痕光刻模板的工艺过程的截面图;
图8示出图形的模板的截面图;
图9示出另外的图形模板设计的截面图;
图10示出涂复一固化液至衬底的工艺过程的俯视图;
图11示出在刻痕光刻工艺过程中一用于分配流体的装置的示意图;
图12示出用于一刻痕光刻工艺过程的不理想的流体分配图形;
图13示出包括多个液滴的流体图形,该液滴在间隙闭合之后不会捕获空气气泡;
图14示出在刻痕光刻工艺过程中另一用于分配流体的装置的示意图;
图15示出包括多个大致平行线的流体图形;
图16示出一衬底支承系统的投影图;
图17示出另一衬底支承系统的投影图;
图18是一由挠性接头连接的3连杆链的示意图,其连接成沿X方向平移一块体;
图19是另一由挠性接头连接的3连杆链的示意图,其连接成沿X方向平移一块体;
图20是一磁性线性伺服电机的投影图;
图21是多刻痕的总体对齐处理过程的工艺流程框图;
图22是多刻痕的场对场的对齐处理过程的工艺流程框图;
图23是模板相对于衬底转动的轴线的投影图;
图24示出定位在一模板和衬底上的测量装置;
图25示出定位在一模板和衬底上的一光学对齐测量装置的示意图;
图26示出使用对齐标志来确定模板相对于衬底的对齐的示意图;
图27示出使用偏振滤光片的对齐标志来确定模板相对于衬底的对齐的示意图;
图28示出一容性模板放置测量装置的示意图;
图29示出一激光干涉仪型模板放置测量装置的示意图;
图30示出当间隙部分地充以流体时,确定带有模板和衬底之间的间隙的对齐的示意图;
图31示出一包括多个蚀刻线的对齐标志;
图32示出一定向阶段的投影图;
图33示出一定向阶段的分解图;
图34示出一间隙测量工艺的过程流程图;
图35示出确定两个材料之间的间隙的工艺过程的截面图;
图36示出示出确定局部最小和最大间隙的曲线图;
图37示出一带有间隙测量下凹的模板;
图38示出使用一光谱仪来测量模板和光谱仪之间的间隙的示意图;
图39示出使用探针来探测模板和衬底之间的间隙的示意图;
图40示出包括预存的地形的刻痕光刻工艺的截面图;
图41示出照明一模板以确定模板和衬底之间存在楔形的工艺过程的示意图;
图42示出诸挠性件的投影图;
图43示出组合使用的第一和第二挠性件;
图44示出一定向阶段的仰视投影图;
图45示出夹持一模板的挠性臂的示意图;
图46示出一对挠性臂和相连的精确致动器的截面图;
图47示出形成一真空夹盘的示意图;
图48示出用于夹持一衬底的真空夹盘的各种视图;
图49示出固化后从一衬底上移去模板的示意图;
图50示出固化后从一衬底上移去模板的另一示意图;
图51示出一模板支承系统的示意图;以及
图52示出模板和衬底之间的一间隙的侧视图。
尽管本发明允许各种变型和变化形式,但本发明的具体实施例通过实例来示出,并将在本文中作详细的描述。然而,应当理解的是,本文的附图和详细的描述的意图不在于将本发明限制在所述的特定形式上,但恰恰相反,本发明将涵盖落入由附后的权利要求书所定义的本发明的精神和范围内的所有改型,等价物和变化。
具体实施方式
本文所提供的实施例一般涉及系统、装置和制造小器件的相关的工艺过程。具体来说,本文所提供的实施例涉及刻痕光刻的系统、装置和相关的工艺过程。例如,这些实施例可应用于在衬底上(例如一半导体晶片)刻痕非常小的容貌。应当理解的是这些实施例也可应用于其它的任务,例如,制造有成本效益的微电子机械系统(MEMS)。实施例还可应用于制造其它类型的器件,其中包括(但不限于):用于数据储存的图形磁性介质、微光学器件、生物和化学器件、X线光学器件等。
现参照附图,尤其是图1A和1B,其中示出预先设置在衬底20上的一模板12的结构,该衬底将准备在其上使用刻痕光刻刻痕所要求的容貌。具体来说,模板12可包括一加工成呈所要求的容貌形的表面14,它依次又可传送到衬底20。如本文中所采用的,一“容貌大小”一般指所要求的容貌之一的宽度或深度。在某些实施例中,迁移层18可被放置在衬底20和模板12之间。迁移层18可通过刻痕层16从模板12接纳所要求的容貌。如本技术领域内所熟知的,迁移层18可允许从低的长宽比刻痕容貌中获得高的长宽比的结构(或装置)。
为刻痕光刻的目的,重要的是互相尽可能近且几乎平行地保持模板12和衬底20。例如,对于约为100nm宽和约为100nm深的容貌,在衬底20的刻痕区域上,为求得刻痕光刻工艺的成功,可要求一约为200nm或不到的平均间隙,其变化量约小于50nm。本文所呈现的实施例提供一种控制模板12和衬底20之间的间隔的方法,以实现给予如此紧密和精确间隙要求的成功的刻痕光刻。
图1A和1B示出可能在刻痕光刻中遇到的两种类型的问题。在图1A中,由于模板12在被刻痕的层16的一端上更加靠近衬底20,所以形成一楔形的刻痕层16。图1A示出在图形迁移过程中保持模板12和衬底20基本平行的重要性。图1B示出的刻痕层16太厚。这两种状况可以说是十分不理想。本文提供的实施例提供的系统、工艺过程和相关的装置,可消除图1A和1B所示的状况,以及与现有技术光刻工艺相关的其它定向的诸问题。
图2A至2E示出一刻痕光刻工艺过程的实施例,其一般标以标号30,模板12可与衬底20保持隔开的关系定向,以使间隙31形成在分离模板12和衬底20的空间中。模板12的表面14可用一降低模板表面能并有助于分离模板12和衬底20的薄层13来加以处理。下面讨论定向的方式和控制模板12和衬底20之间的间隙31的装置。接下来,间隙31可用与被处理表面14的形状一致的物质40填充。或者,在一实施例中,在模板12相对于衬底20移动到理想的位置之前,物质40可分配到衬底20上。
物质40可形成一诸如图1A和1B所示的刻痕层16之类的刻痕层。较佳地,物质40可以是这样的液体,它不需采用高温即可相当容易和快捷地填充间隙31的空间,而且,间隙不要求高压即可封闭。有关选择合适的物质40的进一步细节将在下面予以讨论。
固化剂32可涂复在模板12上而形成物质40,以便硬化和呈现由间隙31所定义的空间的形状。这样,理想的容貌44(图2D)可从模板12迁移到衬底20的上表面。迁移层18可直接地设置在衬底20的上表面。迁移层18可便于放大从模板12上迁移的容貌,以便产生高长宽比的容貌。
如图2D所示,模板12可从衬底20离开其上的所要求的容貌44而移去。模板12从衬底20上分离必须这样地进行:所要求的容貌44仍保持完好无损,没有从衬底20的表面上剪切或撕下。本文提供的实施例提供一种在刻痕之后从衬底20上剥离和揭开(这里称之为“剥离和揭开”法)模板12的方法和相关的系统,这样,保持理想的容貌44完好无损。
最后,在图2E中,从模板12迁移到物质40上的容貌44,可通过迁移层18的作用在垂直尺度上被放大,所述迁移层作用在使用双层抗蚀剂工艺过程中被人们所熟知。形成的结构可被进一步处理,使用众所周知的工艺完成制造过程。图3以流程框图的形式概括一刻痕光刻过程的实施例,一般标以标号50。首先,在步骤52,执行模板和衬底的定向过程,已达到模板和衬底的初步的对齐。在步骤52处的定向过程的优点在于它可允许在制造环境中的预先标定,其中,许多器件以高效和高产量的方式被制造。例如,其中,衬底包括在半导体晶片上的许多冲模之一,在一单一生产循环过程中,对齐过程(步骤52)可被执行一次,并作用到其它冲模上。这样,可减少生产的循环次数并可提高产量。
在步骤54,一物质可被分配到衬底上。该物质可以是固化的有机硅溶液或其它暴露在触发光下可变成固体的有机液体。使用液体可消除对于与现有技术的光刻工艺相联系的高温和高压的要求。接下来,在步骤56,在模板和衬底之间的间隔可加以控制,这样,在两个层之间可形成相当均匀的间隙,以达到成功刻痕所要求的精确定向。本文所提供的实施例提供一实现步骤56所要求的定向(过程和细微)的装置和系统。
在步骤58,通过模板相对于衬底和物质的垂直运动,间隙可被封闭。物质可被固化(步骤59),从而导致物质硬化形成具有模板容貌的形式。接下来,在步骤60,模板可从衬底上分离,导致容貌从模板上被刻痕或迁移到衬底上。最后,使用一初步蚀刻以移去残余材料,以及一众所周知的氧化蚀刻工艺以蚀刻迁移层,在步骤62,进行结构的蚀刻。
在各种实施例中,一模板可包括无图形的区域:1)在具有模板表面的平面,2)在模板内的下凹,3)从模板上突出,或4)上述的组合。一模板可被制造有呈刚性的诸突出。这样的突出可提供用于微小公差的均匀间隔层,以及诸如光栅、全息摄影等的光学器件。或者,可用受压的突出制造模板。
一般来说,一模板可具有通过表面接触对其支承的刚性本体,支承方向从:1)侧面,2)后面,3)前面,4)上述的组合。模板支承可具有限制模板在压力作用下变形和扭曲的优点。在某些实施例中,一模板在某些区域可涂复反射涂层。在某些实施例中,模板可包括在反射涂层中的诸孔,以使光可进入和通过模板。这样的涂层可利用干涉测量法来对重叠纠正而定位模板。这样的涂层还可允许用通过模板的侧面而不是顶面照明的固化作用源来进行固化。这在模板夹持器、间隙检测工艺和重叠标志探测系统的设计中,尤其可允许有很大的灵活性。可在下列位置执行模板的暴露:1)在垂直入射于模板,2)与模板倾斜交角,或3)通过模板的一侧面。在某些实施例中,刚性模板可结合一柔性衬底使用。
模板可使用光学光刻、电子束光刻、离子束光刻、X线光刻、远紫外线光刻、扫描探针光刻、聚焦离子束蚀刻、干涉光刻、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子蚀刻、反应性离子蚀刻或上述的组合。模板可形成在具有平的、抛物线的、球形的或其它表面地形的衬底上。模板可与具有平的、抛物线的、球形的或其它表面地形的衬底一起使用。衬底可包含一先前的图形地形和/或多材料的膜的堆叠。
在图4所示的实施例中,一模板可包括一图形区域401,一输送通道402和一边缘403。模板边缘403可被用来将模板夹持在模板夹持器内。输送通道402可构造成输送过量的流体,由此,防止其散布到邻近的图形区域,下面将对其作更详细的讨论。在某些实施例中,一模板的图形区域可以是平的。这样的实施例可用于对衬底的平面化。
在某些实施例中,模板可采用多深度设计来进行制造。即,模板的不同的容貌可以相对于模板的表面具有不同的深度。例如,输送通道402可具有一大于图形区域401的深度。这样一个实施例的优点在于,可改进检测模板和衬底之间的间隙的精度。非常小的间隙(例如,约小于100nm)可能难于检测;因此,添加一已知深度到模板的步骤可使间隙的检测更为精确。如本文所采用的,术语“间隙检测区域”一般指具有已知深度约大于100nm的模板的表面上的一种容貌。双重深度设计的优点可在于,这样的设计可使用一标准的模板夹持器来夹持一给定尺寸(其可包括各种尺寸的冲模)的刻痕模板。双重深度设计的第三个优点在于,可使用外围区域来夹持模板。在这样一个系统中,具有功能结构的模板和衬底的交界面的所有部分可暴露于固化剂。如图5所示,经适当设计的、具有外围区域501的深度的一模板500可邻接邻近的刻痕502,503。此外,刻痕模板500的外围区域501仍可保持离刻痕503的安全垂直距离。
如上所述,一双重深度的刻痕模板可使用不同的方法进行制造。在图6所示的实施例中,一单一的厚衬底601可形成有一高分辨率、浅深度冲模图形602,以及一低分辨率、大深度外围图形603。在一实施例中,如图7所示,一薄衬底702(例如,晶体晶片)可形成具有一高分辨率、浅深度冲模图形701。然后,冲模图形701可从衬底702中切割。冲模图形701可然后被粘结到一较厚的衬底703上。如这里所采用的,较厚衬底703一般可被称之为“模板坯”。一模板坯的尺寸可做成配装入刻痕机的刻痕模板夹持器内。最好使用固化媒体(例如,触发光)的折射率等于模板材料的折射率的粘结剂704来进行该粘结。
另外的刻痕模板设计示于图8A,8B和8C,并一般分别地标以标号801,802和803。各个模板设计801,802和803可包括下凹部分,它们可被用来测量间隙和/或输送过量的流体。
在一实施例中,一模板可包括一用来控制流体散布的机构,其根据材料的物理性质以及模板的几何特性进行控制。不造成衬底面积丧失的可允许的过分流体量,可通过各种材料的表面能、流体密度和模板几何特性来加以限制。因此,可使用一释放机构来将流体限制在所要求的模制或制图区域内。该区域一般可被称之为“截口”。如上所述,使用用来构造图形或模制释放结构的标准的加工工艺,可使在截口中的释放结构下凹入模板表面内。
在传统的光刻工艺中,光掩模设计中使用光学邻近纠正成为生产设计尺寸的精确图形的标准。类似的概念可被用于微模制和毫微模制或刻痕光刻中。在刻痕光刻工艺过程中的显著的差异可在于,误差的原因不是衍射或光干涉,而是在加工过程中可能发生的物理性质的变化。这些变化可确定特性,或确定对于模板的几何特性精心安排作地形纠正的需求。在模板中设计图形浮雕结构来适应刻痕过程中材料的变化(诸如收缩或膨胀),其在概念上类似于用于光学光刻中的光学邻近纠正,这种模板可消除因这些物理性质的变化引起的误差。根据诸如体积的膨胀或收缩之类的物理性质的变化,可调整浮雕结构以产生准确的、所要求的复制容貌。例如,图9示出一不根据材料性质变化901而形成刻痕的实例,以及一根据材料性质变化902而形成刻痕的实例。在某些实施例中,带有基本呈矩形904的容貌的模板可承受在固化过程中因材料收缩引起的变形。为了补偿这种材料收缩,模板容貌可设置有一倾斜形905。
就刻痕光刻工艺过程来说,可涉及到模板的耐用性和其释放特性。一耐用的模板可由硅或二氧化硅衬底制成。其它合适的材料可包括(但不限于):硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、晶体,或诸物的组合。模板还可包括用来形成诸如对齐标志之类的可检测特征的材料。例如,可检测特征可由SiOx组成,其中,X小于2。在某些实施例中,X可约为1.5。该材料被认为对于分析光为不透明,但对于某些触发光波长为透明。如本文所采用的,“分析光”一般可指用于上述的(例如,间隙检测,确定对齐等)测量过程的光。在各种实施例中,分析光可包括可见光或红外光。
通过实验业已发现,可通过处理模板以在模板的表面上形成一薄层,来改进模板的耐用性。例如,烷基硅烷、氟烷基硅烷,或氟烷基三氯硅烷层可形成在表面上。特别是,可使用十三烷氟-1,1,2,2-四氢辛基三氯硅烷(C5F13C2H4SiCl3)。这样一种处理可在模板的表面上形成一自组单层(SAM)。可优化一表面处理工艺过程,以产生低表面能的涂层。这样一个涂层可被用来制备用于刻痕光刻的刻痕模板。经处理的模板相对于未处理的模板可具有理想的释放特性。例如,新近处理的模板可具有约为14达因/厘米的表面自由能λtreated。未经处理的模板表面可具有约为65达因/厘米的表面自由能λuntreated。这里所公开的处理程序可制出显现高度耐用性的膜。耐用性可以是高度的要求,因为它可致使一模板在制造的设定中可承受许多次的刻痕。
用于模板表面的涂层可采用液态工艺或蒸发态工艺来形成。在液态工艺中,衬底可浸没在先质和溶剂的溶液中。在蒸发态工艺中,先质可通过一惰性载体气体来提供。可能难于获得用于液态处理的无水溶剂。在处理过程中,体态的水可导致大块的沉积,这可对涂层的最终质量和覆盖造成不利影响。在一蒸发态工艺的实施例中,模板可放置在一真空腔室中,此后,腔室可被循环净化以除去多余的水。有些吸附的水可仍留在模板的表面上。少量的水可被需要来完成形成涂层的表面反应。可以认为,该反应可用公式来表述:
R-SiCl3+3H2O=>R-Si(OH)3+3HCl
为了便于反应,模板可通过温度控制卡盘设定到理想的反应温度。然后,先质可在规定的时间内馈送到反应腔室内。诸如模板温度、先质浓度、流动几何特性等的反应参数可适应于特定的先质和模板衬底的组合。
如前所述,物质40可以是能填充间隙31的空间的液体。例如,物质40可以是低粘度液体单体溶液。合适的溶液具有的粘度范围约从0.01cps至100cps(在25℃时测量)。对于高分辨率(例如,低于100nm)的结构,特别要求低的粘度。低粘度也可导致较快地闭合间隙。此外,低粘度可导致在低压下较快地将液体填充到间隙区域内。特别是,在不到50nm的范围内,溶液的粘度应为约在25cps或以下,或较佳地约在5cps之下(在25℃时测量)。在一实施例中,一合适的溶液可包括50%的n-丁基丙烯酸脂和50%的SLA0210.0(3-acryoloxypropyltristrimethylsiloxane)硅烷的混合物(按重量计)。对此溶液可加上少量百分比的聚合起始剂(例如,光致起始剂)。例如,3%的重量百分比的1∶1的Irg819和Irg184的溶液和5%的SIB1402.0可认为是合适的。该混合物的粘度约为1cps。
在一实施例中,一刻痕光刻系统可包括用来将流体分配到衬底(例如,一半导体晶片)的表面上的自动液体分配方法和系统。该分配方法可使用一带有一个或多个延伸的分配器末端的模块式的自动流体分配器。该分配方法可使用一X-Y台以产生分配器末端和衬底之间的横向的相对运动。该方法可消除使用低粘度流体的刻痕光刻的若干问题。例如,该方法可消除陷入的气泡和刻痕区域的局部变形。实施例也可提供一种方法,该方法达到低刻痕压力,而在刻痕模板和衬底之间的整个间隙上散布流体,且不必浪费过量的流体。
在一实施例中,分配量通常可约小于每平方英寸刻痕面积130nl(毫微升)。在分配后,其后的过程可包括将模板和衬底组件暴露于一固化媒体(例如,触发光)。从衬底上分离模板可在刻痕表面的顶上留下一迁移的图像。该迁移的图像可位于余下的曝光过的材料的薄层上。余下的层可被称之为一“底层”。底层对于一可制造的刻痕应该薄且均匀。一薄且均匀的底层可有助于突破消除底层所需的蚀刻,而仍保持刻痕的结构。
刻痕工艺过程可包括施加在模板和衬底交界面的高压和/或高温。然而,为了包括高分辨率的重叠对齐的可制造的刻痕光刻工艺,应避免高压和高温。这里所公开的实施例通过使用低粘度光固化流体,避免对高温的要求。此外,通过减少为在整个刻痕区域上散布流体所需的挤压力,刻痕压力可被减小到最小。因此,为了流体型的刻痕光刻的目的,一流体分配工艺过程应满足下列诸性质:
1.没有空气气泡捕获在模板和衬底之间;
2.应避免分配器末端和衬底之间的直接接触,以最大程度地减小颗粒的产生;
3.应当及时使填充模板和衬底之间的间隙所需的压力为最小;
4.应将非均匀的流体积聚和/或压力梯度减到最小,以减小模板和衬底交界面的非均匀的局部变形;以及
5.应将分配流体的浪费减小到最小。
在某些实施例中,基于流体分配器末端的位移和衬底之间的相对运动可被用来形成一带有在刻痕区域上的大致连续线的图形。该线截面的尺寸和线的形状可通过平衡分配的速率和相对的运动来加以控制。在分配的过程中,分配器末端可固定在衬底的附近(例如,数十微米的量级)。两个形成一线图形的方法示于图10A和10B。示于图10A和10B中图形是正弦曲线形;然而,也可以是其它的图形。如图10A和10B所示,连续线图形可使用一单一分配器末端1001或多个分配器末端1002而画出。
分配率vd,衬底的横向相对速度vs,可建立关系式如下:
vd=Vd/td(分配体积/分配周期),
(1)
vs=L/td(线长/分配周期)
(2)
vd=aL(其中,“a”是线形的截面面积),
(3)
因此,
vd=avs
(4)
初始线形的宽度通常可取决于分配器的末端的尺寸。末端分配器可被固定。在一实施例中,一流体分配控制器1111(如图11所示)可被用来控制流体分配的体积(Vd)和分配流体(td)所取的时间。如果固定Vd和td,增加线长则导致降低线形横截面的高度。增加图形的长度可通过增加周期性图形的螺旋频率来实现。降低图形的高度可导致在刻痕过程中被排出流体量的降低。通过使用连接到同一分配线上的多个末端,与单一分配器末端的情形相比,带有长的长度的线图形可较快地形成。在一实施例中,基于流体供应系统的位移可包括:一流体容器1101,一入口管1102,一入口阀1103,一出口阀1104,一注射器1105,一注射器致动器1106,一分配器末端1107,一X台致动器1109,一Y台致动器1110,一分配器控制器1111,一XY台控制器1112以及一主控制计算机1113。基于分配器的合适的位移可由哈密顿公司(Hamilton Company)购得。
图12示出若干个不理想的流体图形或用于低粘度流体的分配方法。这些分配图形可导致一个或多个问题,其中包括:捕获空气气泡,局部变形,以及流体的浪费。例如,在刻痕区域1201的中心处分配一单一滴,或分配不规则的线1205可导致模板和/或衬底的局部变形。分配若干滴1202,或呈圆周形图形的线1206可导致气泡的捕获。带有近似封闭圆周形图形1204的其它分配图形同样会导致气泡捕获。同样地,喷射或随机地布置点滴1203可导致气泡的捕获。用一低粘度流体回旋涂复一衬底可造成一由薄膜不稳定性引起的“反湿润”问题。反湿润可导致在衬底上形成许多小流体滴,而不是薄的均匀流体层。
在一实施例中,一流体分配方法可分配多个小的液体滴,当它们扩张时,它们后来会形成一连续体。图13示出使用五个液体滴的情形。这里使用五个滴,其目的仅在于说明。其它非气泡形成的图形,例如,一正弦曲线,一“W”或“X”可使用该方法来实施。当模板和衬底的间隙降低时,圆形点滴1301可变得越来越薄和宽,造成邻近的点滴合在一起1302。因此,即使初始的分配可能未包括一连续的形式,但扩展的流体可从模板和衬底之间的间隙中驱逐空气。有效用于该方法的图形应该这样地分配:当液滴扩展时,它们不会在模板和衬底之间捕获任何的空气。
体积可精确地规定的小的液滴,可使用带有压力支承单元的微型电磁阀来进行分配。液体分配致动器的其它类型可包括压电致动的分配器。与位移型的流体分配器相比,带有一微型电磁阀分配器的系统的优点可包括较快的分配时间和更精确的体积控制。这些优点对于较大尺寸的刻痕(例如,幅度达几个英寸)尤其需要。一包括微型电磁阀的系统的实施例示于图14。该系统可包括:流体容器1401,一入口管1402,一入口阀1403,一泵1404,一出口阀1405,一泵控制器1406,一微型电磁阀1407,一微型电磁阀控制器1408,一XY台1409,一XY台控制器1410,以及一主控制计算机1412。一衬底1411可被放置在XY台1409。微型阀分配器和压电式液滴分配器可从不同的打印头制造商处购得。
可用于大型刻痕区域(例如,大于几个平方英寸)的分配图形示于图15A。在这样一个实施例中,平行的流体线1503可被分配。平行的流体线1503可以这样的方式扩展:当模板1501接近衬底时,空气可从间隙中被驱逐出。为了便于按要求的方式扩展线1503,模板1501可以特意的楔形结构关闭间隙(如图15B所示)。即,模板/衬底的间隙可沿线1503关闭(例如,楔形角可平行于线1503)。
提供一良好分布的初始流体层的优点在于,模板和衬底之间的定向误差可得到补偿。这可归因于流体薄层的水力动力学和定向台的一致性。模板的下部可比模板的其它部分更早地接触分配的流体。当模板和衬底之间的间隙变得更小时,模板的下部和上部之间的不平衡的反作用力增加。这种力的不平衡可导致对模板和衬底的纠正运动,使它们趋于保持基本上的平行关系。
成功的刻痕光刻可要求精确的对齐和模板相对于衬底的定向,以扩展模板和衬底之间的间隙。本文所提供的实施例可提供在大规模制造过程中能够实现精确对齐和间隙控制的系统。在一实施例中,系统可包括一高分辨率的XY平移台。在一实施例中,该系统可提供一预先标定台,以在模板和衬底之间执行一初始的和过程的对齐操作,以使相对的对齐落入一微小移动定向台的运动范围内。仅在一新的模板安装入装置(有时也称之为分档器)时,可要求该预先的标定。该预先标定台可包括一底板,一挠性部件以及连接到底板和挠性部件的多个微米或高分辨率致动器。
图16示出一处于组装结构的XY平移台的实施例,一般标以标号1600。全部的占地面积可约小于20英寸乘20英寸,高度可约为6英寸(包括一晶片卡盘)。这样一个实施例可提供运动范围约为12英寸的X和Y轴的平移。
一XY平移台的第二实施例示于图17,一般标以标号1700。为了提供一类似于XY台1600的运动范围,台1700可具有一占地面积约为29英寸乘29英寸,高度可约为15英寸(包括一晶片卡盘)。台1600和1700主要的不同之处在于,垂直地定向附加连杆1701。
XY台1600和XY台1700均为挠性系统。挠性件被广泛地应用于精密机器中,因为它们可提供无摩擦,无颗粒和低维护操作。挠性件还可提供极高的分辨率。挠性件型系统的实例公开在授予Routson的美国专利4,694,703和授予Wyse的4062,600,本文援引该两专利以供参考。然而,大部分挠性件型系统可具有有限的运动范围(例如,小于毫米的运动范围)。本文公开的实施例可具有大于12英寸的运动范围。这样的台对于光刻应用(特别是真空)来说,可以是有成本效益的。此外,对于刻痕光刻工艺,刻痕力的存在可给予本文提供的实施例显著的优点。
一般来说,一XY台可包括两种类型的部件:致动部件和承载部件。导螺杆组件机构已被广泛地用于定位精度不是一非常重要因素的应用中。对于高精度的应用,球螺杆组件已被用于致动和承载部件。这两种设计可倾向于轮齿隙和静摩擦的问题。此外,对润滑的要求可使这些设计在用于真空或微粒敏感的应用中显得不够理想(例如,刻痕光刻)。
此外,某些设计可利用空气轴承。空气轴承可基本上消除轮齿隙和静摩擦的问题。然而,空气轴承可提供有限的载荷承载能力。另外,空气轴承不适合用于真空环境。
图18示出一基本连接机构1800的一部分的示意图。连杆1(1804)和连杆3(1805)可以长度相等。当一移动物体1801沿X轴线移动时,在连接机构1800中的所有接头转动相同的绝对角。应该指出的是,运动范围可与连杆2(1803)的长度无关。由于动力学上的约束,连杆2(1803)可仍保持平行于接头1(1806)和接头4(1807)之间的一直线。在连接机构1800中,运动范围lm可表达如下:
lm=2d1[cos(θ0max/2)-cos(θ0max/2)
=4d1sin(θ0)sin(αmax/2),
(5)
其中,当所有的挠性件接头处于平衡条件时,θ0是接头1(1806)的转角,αmax是挠性枢转的最大转动范围,而d1是连杆1和3,1804和1805的长度。如方程(5)所示,对于给定的d1,当θ0=90°时,转动范围为最大。因此,连杆长度可给定如下:
d1=lm/[4sin(αmax/2)]
(6)
因此,取αmax为60°,则对于12英寸的运动范围,最小连杆长度为6英寸。
图19示出一类似于连接机构1800的基本连接机构的实施例,但增加两个圆柱形盘1902。动力学研究表明,如果图19的接头2(1904)和3(1905)沿相对方向转动相同的角,则台可产生一沿X轴线的纯平动。在挠性接头2(1904)和3(1905)处增加圆柱形盘1902,则形成的滚动接触可沿相反方向转动连杆1(1908)和连杆2(1906)。在一实施例中,由于圆柱形盘1902可连接到连杆1908和1906,所以不要求附加的接头或轴承。为了防止盘1902的滑动,可在两个盘之间施加合适的预加载。与可使用直接的从动机构或轴承的传统的台比较,这里的接触表面可以相对地小,并相当容易地保持。应该指出,尽管盘1902相对于XY台1600和1700未予示出,但盘1902可存在于某些实施例中。图16中的连杆1602和1601可对应于图19的连杆1908和1906。因此,盘1902可存在于位置1603。参照图17,盘1902可存在于位置1702(以及在图17中未可见的其它位置)。
就两个台1600或1700的致动系统来说,适用两个线性伺服电机(如图20所示,用标号2000表示)。一个线性伺服电机可沿一个平移轴线工作。合适的线性伺服电机可从Trilogy Systems Corporation购得。这样的线性伺服电机可以无摩擦接触。这样的线性伺服电机的另外的优点在于,它们容易地产生约大于100磅的致动力。因此,致动部件可提供仅控制在X和Y方向的平移运动。应该指出的是,在某些实施例中,低台的致动器比高台的致动器需要更大的动力。在某些实施例中,激光干涉仪可提供反馈信号来控制XY台的XY向的定位。可以认为激光干涉仪可提供nm级的定位控制。
定位误差可用激光干涉仪和高分辨率XY台(诸如图17所示的XY台1700)来进行补偿。如果在模板和衬底之间的定向对齐与XY的运动无关,则定位误差对于整个衬底晶片(即,“整体重叠”)可仅需补偿一次。如果在模板和衬底之间的定向对齐与XY运动连接和/或在衬底上存在过度的局部加载定向的变化,则模板相对于衬底的XY位置的变化可需要被补偿(即,场对场的重叠)。重叠对齐的问题在关于重叠对齐一节作进一步讨论。图21和22分别地提供整体和场对场的重叠误差补偿运算法则。
在一实施例中,模板和衬底的定向可通过下列两个台来实现,一个是预标定台(自动地,使用致动器或手动地,使用测微计),一个是微定向台(其可以是主动的或被动的)。这两个台中的一个或两个可包括其它的机构,但为了避免颗粒,可首选挠性型的机构。标定台可安装在一框架上,微定向台可安装在预标定台上。这样一个实施例因此可形成一系列的机械结构。
一微定向台可包括一个或多个从动件。一“从动件”一般可指依从别的部件而获得其运动的部件。从动件装置公开在下列的授予De Fazio的美国专利4,414,750;授予De Fazio的美国专利4,337,579;授予Drake等人的美国专利4,155,169;授予Nevins等人的美国专利4,355,469;授予Watson的4,202,107;授予Watson的4,098,001;本文援引上述专利全文以供参考。这就是说,运动可由与液体的直接或非直接的接触而被致动。如果微定向台是被动的,则它可设计成具有关于两个定向轴线的最主要的依从运动。两个定向轴线可以是正交,并放置在模板的下表面上(参照附图43所示)。两个正交的扭转从动值对于一方形的模板通常是相等的。微定向台可设计成:如果模板不平行于衬底,当其与液体接触时形成的不均匀的液体压力可迅速地纠正定向误差。在一实施例中,该纠正可受到最小或无超调的影响。而且,一如上所述的微定向台可在一足够长的时间段内在模板和衬底之间保持基本平行的定向,以使液体得以固化。
在一实施例中,一微定向台可包括一个或多个致动器。例如,压电致动器(参照图46所述)较为合适。在这样一个实施例中,连接到预标定台上的微定向台的有效的被动的依从,仍基本上关于两个定向轴线。所有结构件和主动件的几何和材料参数一起有助于该有效的被动刚度。例如,压电致动器也可依从于张力和压力。综合几何和材料的参数,以获得所要求的关于两个定向轴线的扭转依从运动。一种简单的综合方法是,使致动器在微定向台内沿其致动方向的从动大于台的系统的其余部分内的结构上的从动。当非平行的模板与衬底上的液体接触时,这可提供被动的自纠能力。此外,应选择这种从动以最小或无超调的方式迅速地纠正定向误差。微定向台可在一足够长的时间段内在模板和衬底之间保持基本平行的定向,以使液体得以固化。
重叠对齐方案可包括对对齐误差的测量及其后对这些误差的补偿,以达到刻痕模板的精确对齐,以及在衬底上的理想的刻痕定位。用于接近光刻,x线光刻和光学光刻(例如,激光干涉仪,容性检测,在掩模和衬底上的重叠标志的自动图像处理)的测量技术,对于刻痕光刻工艺可修改成合适的改型。使用储存图像的重叠对齐的方法和系统公开在美国专利5,204,739,本文援引该专利全文以供参考。
光刻工艺的重叠误差的类型可包括定位误差,θ误差,放大误差和掩模变形误差。本文公开的实施例的优点在于,由于所公开的工艺过程可在相当低的温度(例如,室温)和低压下进行操作,所以掩模的变形误差可以不存在。因此,这些实施例可不包括显著的变形。此外,这些实施例可使用由相当厚的衬底组成的模板。这可导致比使用由相当薄衬底组成的掩模的刻痕光刻工艺远小得多的掩模(或模板)变形误差。而且,用于刻痕光刻工艺的模板的全部区域对于固化媒体(例如,紫外线光)可为透明的,这可最大程度地减小因从固化媒体中吸收能量而引起的热。在光学光刻工艺中,掩模的底表面的大部分因金属涂层的存在而变得不透明,与这种光学的光刻相比,热量的减少可使热致变形的发生减少到最少。
定位误差通常可指模板和衬底之间的XY的定位误差(即,沿X和/或Y轴线的平移)。θ误差通常可指关于Z轴线的相对定位误差(即,绕Z轴线的转动)。放大误差通常可指因热、光或材料引起的刻痕区域相对于模板上的原始图形区域的收缩或膨胀。
在刻痕光刻工艺中,用于控制模板和衬底之间的间隙为目的的定向对齐对应于图23中的角α和β,如果在衬底上存在过度的场对场的表面变化,则可要求经常地执行上述的定向对齐。一般来说,要求在刻痕区域上的变化约小于刻痕容貌高度的一半。如果,定向对齐连接到模板和衬底的XY的定位上,则场对场的定位误差需要进行补偿。然而,本文提供的定向台的实施例,它可无定位误差地执行定向对齐。
使用聚焦透镜系统的光学光刻工艺可这样定位掩模和衬底:它可将两个对齐标志(一个在掩模上,另一个在衬底上)的图像定位到同一聚焦平面。通过在这些对齐标志的相对定位上的观察,可引起对齐误差。在刻痕光刻工艺过程中,在重叠误差的测量过程中,模板和衬底保持一相当小的间隙(微米或不到微米的量台)。因此,可需要使用重叠误差测量工具,将从不同平面的两个重叠标志聚焦到同一的聚焦平面。这样的要求对于带有相当大容貌(例如,约为0.5μm)的器件,不是关键的。然而,对于不到100nm的区域的容貌却是关键的,两个重叠标志的图像应被捕捉在同一聚焦平面上,以达到高分辨率的重叠误差测量。
因此,用于刻痕光刻工艺的重叠误差测量和误差补偿方法应满足下列要求:
1.重叠误差测量工具应能聚焦两个不在同一平面内的两个重叠标志;
2.重叠误差纠正工具应能在模板和衬底之间存在有流体薄层的情况下,沿X和Y相对地移动模板和衬底;
3.重叠误差纠正工具应能在模板和衬底之间存在有流体薄层的情况下,补偿θ误差;以及
4.重叠误差纠正工具应能补偿放大误差。
上述第一要求可通过下列方式得以满足:1)上下移动一光学成像工具(如美国专利5,204,739)或2)使用带有两个不同波长的照明光源。对于这些方法,了解模板和衬底之间的间隙测量是有用的,特别是对于第二方法。模板和衬底之间的间隙可使用现有的非接触膜厚度测量工具之一来进行测量,上述测量工具包括宽带干涉仪,激光干涉仪和容性传感器。
图24示出模板2400,衬底2401,流体2403,间隙2405以及重叠误差测量工具2402。根据间隙信息来调整测量工具的高度2406,以获得两个重叠标志在同一成像平面上。为了实现该方法,要求有一图像储存装置2407。此外,模板和晶片的定位装置在振动上与测量装置2402的上下运动相隔离。而且,当在模板和衬底之间沿XY方向的扫描运动需要有高分辨率的重叠对齐时,该方法不会产生连续的重叠标志的图像。因此,该方法可适用于刻痕光刻工艺的相对低分辨率的重叠对齐方案。
图25示出一用于将从不同平面的两个对齐标志聚焦到一单一的聚焦平面的装置。装置2500可使用用于照明光源的具有独特波长的光引起的焦距的变化。装置2500可包括一图像储存装置2503,照明光源(未示出)以及一聚焦装置2505。通过使用个别的光源或使用单个宽带光源,并在成像平面和对齐标志之间插入光学带通滤光片,可产生具有独特波长的光。根据模板2501和衬底2502之间的间隙,可选择不同组的两个波长来调整焦距。在各个照明下,如图26所示,各重叠标志可在成像平面上产生两个图像。第一图像2601可以是清晰的聚焦图像。第二图像2602可以是未聚焦的图像。为了消除各不聚焦的图像,可使用若干种方法。
在第一种方法中,在第一波长光的照明下,两个图像可被一成像列阵(例如,一CCD列阵)接纳。被接纳的图像示于图26,并一般地标以标号2604。图像2602可对应于在衬底上的重叠对齐标志。图像2601可对应于在模板上的重叠对齐标志。当图像2602聚焦时,图像2601会不聚焦,反之亦然。在一实施例中,可使用一图像处理技术来去除对应于与图像2602相关的像素的几何数据。因此,可消除衬底标志的不聚焦的图像。使用同样的程序和一第二波长的光,可在成像列阵上形成图像2605和2606。该程序可消除不聚焦的图像2606。这样,可仍保持图像2605。然后,两个余下的聚焦的图像2601和2605组合在一单一的成像平面2603,以形成重叠误差测量。
如图27所示,一第二种方法可使用两个共面的偏振列阵,以及一偏振的照明光源。图27示出重叠标志2701和正交的偏振列阵2702。偏振列阵2702可做在模板的表面上,或放置在其上。在两个偏振照明光源下,在成像平面上仅可呈现一个聚焦图像2703(各对应于独特的波长和偏振)。因此,不聚焦的图像可通过偏振列阵2702被过滤掉。这种方法的优点在于,它可不要求有一图像处理技术来消除不聚焦的图像。
应当指出的是,如果模板和衬底之间的间隙在重叠测量过程中太小,由于静摩擦或薄层的剪切力的增加,误差纠正变得困难。此外,如果间隙过大,模板和衬底之间的不理想的垂直运动可引起重叠误差。因此,应确定模板和衬底之间的优化的间隙,其中,可进行重叠误差测量和纠正。
莫里(Moire)图形型重叠测量已被用于光学光刻工艺过程。对于刻痕光刻工艺,其中,两层莫里(Moire)图形不在同一平面内,但仍重叠在成像列阵,要求有两个各自的聚焦图像难于实现。然而,在光学测量工具的焦距深度内,小心地控制模板和衬底之间的间隙,以及模板和衬底之间无直接的接触,可在最少的聚焦问题的情形之下,同时获得两层莫里(Moire)图形。可以认为,其它的根据莫里(Moire)图形的标准重叠方案可被直接地实施到刻痕光刻工艺过程。
使用容性传感器或激光干涉仪来定位高分辨率的XY台的衬底,以及这些XY台的高分辨率的运动。在一实施例中,其中,模板和衬底之间的定向对齐与X-Y运动无关,对于整个衬底(例如,半导体晶片)定位误差仅需补偿一次。这种方法可被称之为“整体重叠”。如果在模板和衬底之间的定向对齐与XY运动连接和在衬底上存在过度的局部加载定向的变化,则模板的XY位置的变化可使用容性传感器和/或激光干涉仪来补偿。这样的方法可被称之为“场对场的重叠”。图28和29示出合适的传感器的实施例。图28示出一容性检测系统的实施例。容性检测系统可包括容性传感器2801,在模板2803上的导电涂层2802。因此,通过检测电容的差值,可确定模板2803的位置。同样地,图29示出一包括反射涂层2901,接收在2903的激光信号的激光干涉仪系统的实施例。被接收器2903接收的激光信号可用来确定模板2904的位置。
放大误差(如果存在的话)可通过小心地控制衬底和模板的温度来进行补偿。使用衬底和模板的热膨胀性质的差异,在衬底上的预先存在的图形区域的尺寸可被调整到一新的模板的尺寸。然而,可以认为,如果刻痕光刻工艺过程在室温和低压下进行,放大误差的幅值可远比定位误差或θ误差小。放大误差也可通过使用这里所公开的应力型方法来进行补偿。
θ误差可通过使用广泛用于光学光刻工艺中的θ台来进行补偿。θ误差可通过使用被足够大的距离分隔开的以提供高分辨率的θ误差值的两个分开的标志来进行补偿。在固化液体之前,当模板离衬底定位成几个微米或不到几微米时,θ误差可进行补偿。
在使用紫外线固化液体材料的刻痕光刻工艺过程中,涉及重叠对齐的另一个问题是对齐标志的可见性。对于重叠误差测量,可使用两个重叠标志,一个在模板上,另一个在衬底上。然而,由于可能要求模板透明于一固化媒体,所以,模板重叠标志通常不包括不透明线。相反,模板重叠标志可以具有模板表面的地形容貌。在某些实施例中,标志可用与模板相同的材料制成。此外,紫外线固化液体可趋于具有类似于模板材料(例如,晶体)的折射率。因此,当紫外线固化液体填充模板和衬底之间的间隙时,模板重叠标志变得非常难于识别。如果模板重叠标志由不透明材料(例如,铬)制成,则在重叠标志之下的紫外线固化液体不能适当地暴露在紫外线下,这种情况是非常不理想的。
本文公开两种方法来克服存在有液体的情况下识别模板重叠标志的问题。第一种方法使用一连同高分辨率的间隙控制台的精确液体分配系统。本文公开合适的液体分配系统和间隙控制台。为了说明起见,重叠对齐的三个布骤示于图30。示于图30中的重叠标志和流体的图形,仅是为了说明的目的,不能认为有限制的含义。其它各种重叠标志、重叠标志的位置和/或液体分配图形也是可能的。首先,在步骤3001,一液体3003可分配到衬底3002上。在步骤3004,使用一高分辨率的定向台,小心地控制模板3005和衬底3002之间的间隙,以使分配的流体3003不完全地填充模板和衬底之间的间隙。可以认为,在步骤3004,间隙可仅略大于最后的刻痕间隙。由于大部分间隙被填充流体,可进行重叠的纠正,似乎间隙被完全地填充以流体。放置重叠标志,使流体在该第一位置不覆盖它们。重叠纠正一完成,间隙即可关闭成最后的刻痕间隙(步骤3006)。这可使液体散布到其余的刻痕区域,其中包括覆盖对齐标志。由于间隙在步骤3004和3006之间的变化可以很小(例如,约为10nm),所以,间隙的关闭运动不可能造成任何显著的重叠误差。
第二种方法可使用在模板上的特殊的重叠标志,这可从重叠测量工具中看到,但对固化媒体(例如,紫外线光)不可不透明。该方法的一实施例示于图31。在图31中,代替完全不透明线的是,在模板上的重叠标志3102可形成为微小偏振线3101。例如,合适的微小偏振线可具有约为用于固化媒体中的触发光的波长的1/2至1/4的宽度。偏振线3101的微小宽度应足够小,以使通过两线之间的触发光充分衍射,致使在线下的所有液体得以固化。在这样一个实施例中,触发光可根据重叠标志3102的偏振而被偏振。偏振触发光可提供对包括具有重叠标志3102的区域的所有模板区域的相当均匀的暴光。用来定位模板上的重叠标志3102的分析光可以是宽带光或特定的不会固化液体材料的波长。该光不需被偏振。偏振线3101对于分析光可以基本上不透明,因此,使用建立起来的重叠误差测量工具,使重叠标志变得可见。微小偏振重叠标志可使用诸如电子束光刻的现有技术在模板上进行加工。
在一第三实施例中,重叠标志可用不同于模板的材料制成。例如,选择来形成模板重叠标志的材料可基本上对于分析光(例如,可见光)不透明,但对于用于固化媒体(例如,紫外线光)的触发光是透明的。例如,SiOx可形成这种材料,其中,X小于2。特别是,可以认为,由SiOx形成的结构,其中,X约为1.5,基本上对于可见光是不透明的,但对于紫外线光是透明的。
图32示出一组装系统,一般标以标号100,其用于绕一被刻痕的衬底(诸如,衬底20)标定和定向模板(诸如,模板12)。系统100可被用于一诸如分档器之类的机器,以在使用如上所述的刻痕光刻工艺过程的生产环境中大规模制造器件。如图所示,系统100可安装在顶框架110上,框架为外壳120提供支承。外壳120可包括预标定台,该标定台用来绕一衬底对齐一模板150(在图32未示出)。
外壳120可连接到一中间框架114,使导向轴112a,112b连接到与外壳120相对的中间框架114上。在一实施例中,可使用三个导向轴(后面的导向轴在图32中未可见),在模板150的垂直平动过程中,随着其上下滑动,提供对外壳120的支承。围绕中间框架114而连接到对应导向轴112a,112b上的滑动件116a和116b可便于外壳120的这种上下运动。
系统100可包括连接到外壳120底部上的盘形挠性环124。挠性环124可支承放置在下面的定向台,其包括第一挠性件126和第二挠性件128。挠性件126,128的操作和结构将在下文中作详细讨论。如图33所示,第二挠性件128可包括一模板支承130,它在刻痕工艺过程中夹持模板150就位。通常,模板150可包括一块其上刻痕所要求的容貌的晶体。根据众所周知的方法,模板150还可包括其它的物质。
如图33所示,致动器134a,134b,134c可固定在外壳120内,并可操作地连接到底板122和挠性环124。在操作中,控制致动器134a,134b,134c,使挠性环124实现运动。致动器的运动可允许粗糙的预标定。在某些实施例中,致动器134a,134b,134c可包括高分辨率的致动器。在这样的实施例中,致动器可均等地分布在外壳120的周围。这样一个实施例可允许环124沿垂直方向的非常精确的平移,从而精确地控制间隙。因此,系统100能实现粗糙的定向对齐和相对于被刻痕的衬底精确控制模板150的间隙。
系统100可包括一能精确地控制模板150的机构,这样,可实现精确的定向对齐,并且由模板保持相对于衬底表面的均匀的间隙。此外,系统100可提供一种在刻痕之后从衬底表面分离模板150而不会剪坏衬底表面上的容貌的方法。通过第一和第二挠性件126和128的结构,可分别容易地实现精确对齐和间隙控制。
在一实施例中,使用一对于如图51所示的固化媒体为透明的、分离的固定支承板5101,可将模板5102夹持就位。在各种实施例中,支承板可由晶体、蓝宝石或二氧化硅制成。尽管在模板5102之后的支承板5101可支承刻痕力,但施加在固定的支承板5101和模板5102之间的真空可支承分离的力。此外,真空可用来将支承板连接到模板夹持器的本体上。为了支承模板5102能对付横向力,可使用压电致动器5103。横向支承力可使用压电致动器5103进行小心的控制。这种设计还可对在刻痕光刻工艺过程中的层对层对齐,提供放大和变形纠正的能力。变形纠正对于克服存在于由电子束光刻制成的模板结构中的压合和定位误差,并补偿存在于衬底上的先前结构内的变形,是十分重要的。放大纠正可仅要求在模板的各侧的一个压电致动器(即,对于四边的模板,总共4个压电致动器)。诸致动器可连接到模板的表面,以使均匀力可作用到整个表面。另一方面,变形纠正,可要求若干个独立地在模板各侧上施加控制力的独立的压电致动器。根据要求的变形控制的水平,可规定独立压电致动器的数量。压电致动器数量越多,则可提供的变形控制也就越好。放大和变形误差的纠正应在使用真空来约束模板的顶表面之前完成。这是因为放大和变形纠正仅在模板的顶和底表面均未被约束时,才可被适当地控制。在某些实施例中,图51的模板夹持器系统可具有致使阻碍固化媒体到模板5102下面的区域的一部分的机械设计。因为模板5102下面的液体的一部分不固化,所以,这种情况是不理想的。该液体可粘到模板上,导致进一步使用模板的诸问题。与模板夹持器相关的问题可通过纳入一组镜面到模板夹持器而得以避免,诸镜面转移被阻碍的固化媒体,以使被引导到模板5102一边缘下面的固化媒体能发生弯曲而固化模板5102的其它边缘下面的被阻碍部分。
在一实施例中,通过设计一模板,使衬底和模板之间的最小间隙落入检测工艺的使用范围内,则可实现高分辨率的间隙检测。被测量的间隙可独立于实际图形的表面来操作。这可允许在检测工艺的使用范围内进行间隙控制。例如,如果使用有效检测范围约为150nm至20微米的光譜反射系数分析技术来分析该间隙,则模板可具有图形深入深度达约150nm和更深的特征。这可以确保待检测到的最小间隙大于150nm。
由于模板是向下朝向衬底的,因而液体从衬底与模板之间的间隙中挤出。当黏滞力与所施加的压缩力接近平衡时,衬底和模板之间的间隙会接近一个较小的实际极限值。这可在模板的表面紧靠衬底时发生。例如,这种状况可以是这样:对于1cP的流体在约100nm的间隙高度下,对1cm半径的模板施加14KPa力达1秒。其结果,假若保持均匀和平行的间隙,则间隙可以是自限的。此外,还可逐出(或夹带)一相当的可预测的流体量。夹带走的流体体积可根据仔细的流体动力学和表面现象的计算进行预测。
对于大规模的刻痕图形,可要求控制模板相对于衬底的倾斜和间隙。为了实现定向和间隙控制,可使用由结合间隙检测工艺的刻线加工工艺制造的模板,所述检测工艺诸如:1)单波长干涉测量法,2)多波长干涉测量法,3)椭圆光度法,4)容性传感器,或5)压力传感器。
在一实施例中,可使用一种检测模板和衬底之间的间隙的方法,来计算衬底上膜的厚度。本文公开一种基于快速福利埃变换(FFT)的技术,其从一宽带的光谱仪获得反射数据来进行快速福利埃变换。使用这种技术可测量模板和衬底之间的间隙,以及测量膜的厚度。对于多层膜,该技术可提供各薄膜的一平均厚度及其厚度的变化。此外,通过测量通过一个表面的最少的、独特的三点的间隙,可获得平均间隙和两个靠近表面之间的定向信息(诸如用于刻痕光刻工艺过程的模板和衬底的信息)。
在一实施例中,一间隙测量过程可根据宽带干涉测量法和快速福利埃变换法的结合来进行。目前工业界的好几种应用,对宽带干涉测量使用各种曲线拟合技术来测量单层膜的厚度。然而,可以预料这种技术不能提供间隙的实时测量,特别在刻痕光刻工艺过程中的多层膜的情形下。为了克服这种问题,首先,反射率可在1/λhigh和1/λlow之间的波数域内进行数字化。然后,利用FFT运算法则对数字化的数据进行处理。这种新颖的方法可得出精确地与测量的间隙对应的FFT信号的清晰的峰值。对于两层膜的情形,FFT信号可得出与各层的厚度线性相关的两个清晰的峰值。
对于光学薄膜,反射率的震荡对于波数(w)而不是对波长( )显示周期性,在光学单薄膜的反射率的情形下,可用下列方程式表示:
R = ρ 1,2 2 + ρ 2.3 2 e - 2 αd - 2 ρ 1,2 ρ 2,3 e - αd cos ( 4 πnd / λ ) 1 - ( ρ 1,2 ρ 2,3 ) 2 e - 2 αd + ρ 1,2 ρ 2,3 e - αd cos ( 4 πnd / λ ) , - - - ( 7 )
其中,ρi,i+1是在i-1的界面和i界面的反射率系数,n是折射率,d是膜(图52的材料2)的测量厚度,以及α是膜(图52的材料2)的吸收系数。这里,w=1/λ。
归因于该特性曲线,福利埃分析对确定自变量为w的函数R的周期是有用技术。应当指出的是,对于单薄膜,当求出R(w)的福利埃变换时,则得到清晰定义的单峰值(p1)。膜厚度(d)是该峰值位置的函数,用下式表示:
d=p1/(Δw×2n),(8)
其中,Δw=wf-ws;wf=1/λmin和ws=1/λmax
FFT是以有效的计算方式计算离散信号的频率的一种确定的技术。因此,该技术可用于原地分析和实时应用。图34示出通过对一反射率信号的FFT处理,膜厚度或间隙测量的流程的实施例。对于带有独特反射率的多层膜,FFT处理中的峰值位置可与各膜厚度的线性组合相对应。例如,一两层膜可导致FFT分析中的两个独特的峰值位置。图35示出根据两个峰值位置确定两个膜的厚度的方法。
本文提供的实施例,在即使反射率数据的震荡在测量的波数范围内包括不到一个满周期,也可测量一间隙或膜厚度。在这种情形下,FFT可导致一不精确的峰值位置。为了克服这个问题和扩展可测量膜厚度的下限,本文公开一种新颖的方法。不使用FFT的运算法则来计算震荡的周期,可采用求ws和wf之间的反射率的局部最小值(w1)或最大值(w2)点的运算法则,来计算信息周期:在w1和w2处,dR/dw=0。方程7的反射率R(w)在w=0时具有最大值。此外,典型的光谱仪的波数范围(Δw)可大于ws。对于波长范围为200nm-800nm的光谱仪,Δw=3/800,而ws=1/800。因此,0-ws之间的反射率数据的震荡长度可小于Δw的长度。如图36所示,在给定w=0是R(w)的最大点时,Δw范围内的最小值和最大值的位置,存在有两种情况。因此,膜厚度可计算如下:
·情形1WW0:在w1时,有局部最小值。因此,w1=周期震荡的一半,且由此得d=0.5/(w1×2n)。
·情形2WW1:在w2时,有局部最大值。因此,w2=周期震荡的一个周期,且由此得d=1/(w1×2n)。
一种实用的测量工具的结构可包括一宽带的光源,一带有光纤的光谱仪,一数据采集板,以及一处理计算机。现有的若干个信号处理技术可提高FFT数据的灵敏度。例如,这些技术包括(但不限于):过滤、放大、增加数据点、不同的波长范围等,所述技术可用于本文公开的间隙或膜厚度测量方法中。
本文公开的实施例包括在两个平板(例如,一模板和一衬底)之间的高精度间隙和定向测量的方法。这里提出的间隙和定向的测量方法包括宽带干涉测量法和边缘干涉测量法的使用。使用宽带干涉测量法来检测间隙的方法和系统,公开在授予Ledger等人的美国专利5,515,167;授予Chalmers的6,204,922;授予Buermann等人的6,128,085;以及授予Li等人的6,091,485,本文援引其所有全文以供参考。在一实施例中,使用宽带干涉测量法的本文公开的一方法,可克服宽带干涉测量法的不足,即,其不能精确地测量约小于宽带信号的平均波长的1/4的间隙。边缘干涉型的干涉测量法可用来在模板安装后立即进行模板定向中检测误差。
刻痕光刻工艺可用来制造单层或多层的器件。诸如微米大小的光学镜、高分辨率的光过滤器、光导之类的单层器件,可通过在衬底上形成一定几何形状的材料薄层得以制造而成。这些器件中的某些器件的刻痕层厚度,可小于宽带信号的平均波长的1/4,且在活性区域上可以是均匀的。宽带干涉测量法的缺点在于,它不能精确地测量小于宽带信号的平均波长(例如,约180nm)的约1/4的间隙。在一实施例中,需精确测量的微米大小的台阶,可蚀刻到模板的表面内。如图37所示,台阶可以在测量处的连续线3701或隔离的多点3702的形式进行蚀刻。从最大程度地利用模板上的有用的活性区域的观点来看,隔离的点3702的方式是较佳的。当图形的模板表面离衬底仅是几个毫微米时,一宽带干涉测量法可精确地测量该间隙,而不会遭受最小间隙测量的问题。
图38示出这里所述的间隙测量的示意图。探针3801也可用在如图39所示的倾斜的结构。如果使用三个以上的探针,通过使用多重的信息,间隙测量的精度可以得到提高。为简单起见,下面的介绍假定采用三个探针。为了说明的方便,台阶的尺寸hs已被放大。在图形区域的平均间隙hp可由下式得出:
hp=[(h1+h2+h3)/3]-hs,(9)
当探针的位置为已知((xi,yi)其中x和y轴在衬底表面上)时,模板相对于衬底的相对定向,可表示为一单位矢量(n),其相对于位于衬底顶表面上的x-y轴的坐标架,垂至于模板的表面。
n=r/||r||(10)
其中,r=[(x3,y3,h3)-(x1,y1,h1)]×[(x2,y2,h2)-(x1,y1,h1)]。当n=(001)T,或h1=h2=h3时,两个平板之间可达到完全的定向对齐。
测得的间隙和定向可用作对刻痕致动器的反馈信息。测量的宽带干涉测量束的大小可小到约75μm。对于实际的刻痕光刻工艺,由于没有图形可蚀刻到清晰区域,所以,要求尽可能减小仅用于测量间隙的清晰区域。此外,也应最大程度地减小因测量工具的存在引起的对固化媒体的阻塞。
图40示出在衬底上的多层材料的示意图。例如,衬底4001具有层4002和4003,以及衬底4001和模板4004之间的流体4005。这些材料层可用来沿垂直方向一个接一个地将多图形迁移到衬底表面。在使用光束4006进行间隙测量的清晰区域,每个厚度是均匀的。业已表明,利用宽带干涉测量法可精确地测量存在有多层膜的顶层的厚度。当精确地已知下层膜的光学性质和厚度时,模板和衬底表面(或对于多层器件的金属沉积表面)之间的间隙和定向信息,可通过测量顶层的厚度来获得。各层的厚度可利用同样的检测探针进行测量。
当一新的模板安装,或一机器部件重新构造时,要求进行定向测量及相应的标定。模板4102和衬底4103之间的定向误差可通过在模板和衬底交界面处的干涉边缘图形进行测量(如图41所示)。对于两个光学平板,干涉边缘图形可呈现为平行的暗和亮的条纹4101。可用如本文所公开的一预标定台进行定向标定。可使用差动测微计来调整模板相对于衬底表面的相对定向。采用这种方法,如果不存在干涉边缘条纹,则定向误差可纠正至小于所用光源波长的1/4。
参照图42A和42B,其中分别较详细地示出第一和第二挠性件126和128的实施例。具体来说,第一挠性件126可包括多个连接到对应刚性体164,166的挠性接头160。挠性接头160和刚性体164和166可形成从框架170延伸的臂172,174的一部分。挠性框架170可具有一开口182,它允许固化媒体(例如,触发光)和检测媒体(例如分析光)穿过而到达夹持在支承130中的模板150。在某些实施例中,4个挠性接头160可提供挠性件126绕第一定向轴线180的运动。第一挠性件126的框架170可提供一连接第二挠性件128的偶联机构(如图43所示)。
同样地,第二挠性件128可包括一对从框架206延伸的臂202,204。臂202和204可包括挠性接头162和对应的刚性体208,210。刚性体208和210可适于致使挠性件128绕第二定向轴线200的运动。一模板支承130可与第二挠性件128的框架206连接成一体。如框架182那样,框架206可具有一开口212,它允许固化媒体和检测媒体到达夹持在支承130中的模板150。
在操作中,第一挠性件126和第二挠性件128可如图43所示连接而形成定向台250。为了便于两个零件的连接,提供支架220,222,以使第一定向轴线180和第二定向轴线200基本上互相正交。在这样的结构中,第一定向轴线180和第二定向可大约在模板和衬底交界面254处相交于枢转点252。第一定向轴线180和第二定向轴线200互相正交并位于交界面254上的事实,可提供微对齐和间隙控制。具体来说,采用这种结构,可实现从层对层的重叠对齐中退耦到定向对齐。而且,如下面所述,第一定向轴线180和第二定向轴线200的相对位置可提供一定向台250,它可用来从衬底上分离模板150,且不会剪坏所要求的容貌。因此,从模板150上迁移的容貌可在衬底上仍保持完好无损。
参照图42A,42B和43,挠性接头160和162可刻槽形,以提供刚性体164,166,208,210绕位于槽形的最薄截面内的枢转轴线的运动。该结构可提供两个挠性的、用于具有退耦从动运动轴线180,200的微退耦定向台的副系统。挠性件126,128可通过配合表面进行安装,这样,模板150的运动可绕枢转点252发生,基本上消除“摆动”和可能会剪坏衬底上的刻痕容貌的其它运动。因此,定向台250可精确地绕一枢转点252移动模板150,消除剪坏刻痕光刻后的衬底上的所要求容貌的现象。
参照图44,在系统100的操作过程中,一Z向平移台(未示出)可控制模板150和衬底之间的距离,而不提供定向对齐。一预标定台260可执行模板150和衬底表面之间的预对齐操作,以使相对对齐落入到定向台250的运动范围限值内。在有些实施例中,仅当一新模板安装入机器时,才要求有预标定。
参照图45,其中示出一挠性模型,一般标以标号300,其目的用来理解诸如定向台250的一微退耦定向台的操作原理。挠性模型300可包括四个平行接头:接头1,2,3和4,它们在其名义的和转动的构造中提供一四连杆系统。线310可通过接头1和2。线312可通过接头3和4。选择角12,使从动对齐轴线(或定向轴线)基本上位于模板-晶片交界面254上。对于微定向变化,接头2和3之间的刚性体314可绕一轴线(以C点表示)转动。刚性体314可代表挠性件126和128的刚性体170和206。
正交于第一挠性部件,安装一第二挠性部件(如图43所示),可提供这样的一个装置:其两个退耦定向轴线互相正交且位于模板-衬底交界面254上。挠性部件可适于具有允许固化媒体(例如,触发光)和检测媒体(例如分析光)通过模板150的开口。
定向台250能使模板150相对于衬底作微对齐和精确运动。理想的情况是,定向调整可导致在交界面上可忽略的横向运动,以及因可选择约束的高结构刚度引起的、绕垂直于交界表面的可忽略的扭转运动。带有挠性接头160,162的挠性件126,128的另一个优点在于,它们不会产生如摩擦接头那样可能产生的颗粒。这对于颗粒会特别地有害于工艺过程的刻痕光刻工艺的成功,会是一重要的因素。
由于间隙控制的需要,本文提供的实施例可要求提供有能测量模板和衬底之间的500nm和更小量级的小间隙的检测方法。这样的间隙检测方法可要求约为50毫微米或更小的分辨率。这样的间隙检测理想地是实时提供。提供实时的间隙检测会使间隙检测用来产生一反馈信号,以便有效地控制致动器。
在一实施例中,可设置有一具有活性从动件的挠性件。例如,图46示出一包括有压电致动器的挠性件400。挠性件400可与一第二挠性件组合而形成一活性的定向台。挠性件400可在模板-衬底的交界面上产生纯倾斜运动而无横向运动。使用这样的一个挠性件,一单一的重叠对齐台可允许对整个半导体晶片上的一层进行刻痕。这与带有定向和横向运动之间的偶联运动的重叠对齐形成对比。这样的重叠对齐台可导致对X-Y对齐的扰动,因此,会要求一复杂的场对场的重叠控制回路来保证合适的对齐。
在一实施例中,挠性件250可具有沿不要求侧向运动和转动的方向的高的刚度,以及沿要求有必须的定向运动的方向的低的刚度。这样一个实施例可提供有选择的从动装置。这就是说,挠性件250可支承相当高的载荷,同时,在模板和衬底之间实现合适的定向动力特性。
对于刻痕光刻,要求在两个接近的平表面(即,模板和衬底)之间保持一均匀的间隙。模板150可由光学平板玻璃制成,以确保其在底面上的基本平坦。模板可使用电子束光刻形成图形。然而,衬底(例如,一半导体晶片)可显示一导致在其地形上微米级变化的“土豆片”效应。真空卡盘478(如图47所示)可消除在刻痕过程中可能发生的在衬底表面上的变化。
真空卡盘478可达到两个基本目的。第一,真空卡盘478可用来在刻痕过程中夹持衬底就位,并确保衬底在刻痕过程中保持平坦。此外,真空卡盘478可确保在刻痕过程中无颗粒存在在衬底的背面。因为背侧的颗粒可形成损坏器件和降低产量的平整性的问题,所以,这一点对于刻痕光刻是特别重要的。图48A和48B示出适合于根据两个实施例的这些目的的一真空卡盘的变体。
在图48A中,一销型的真空卡盘450显示为具有多个销452。可以认为,真空卡盘450可消除“土豆片”效应,以及在刻痕光刻过程中衬底上的其它变形。一真空卡盘454可设置成为一对衬底施加真空并将其保持就位的装置。保持诸销452之间的间隔,这样,衬底将基本上不会因通过真空通道454施加的力而发生弯曲。在此同时,销452的末端可做成足够小,以减少颗粒设定在它们顶上的机会。
图48B示出一在其表面带有多槽462的槽型真空卡盘460。槽462可执行与销型真空卡盘的销454类似的功能。如图所示,槽462可呈一壁形464或一光滑的弧形截面466。用于槽型真空卡盘462的槽462的截面可通过蚀刻工艺进行调整。再者,各槽的空间和大小可以小到几百个微米。流到各槽462的真空可通过与卡盘表面平行走向的多槽上的细微真空通道得以提供。沿槽形成的细微真空通道可通过蚀刻工艺制成。
图47示出销型真空卡盘450和槽型真空卡盘460的制造过程。使用光学平板470,对于这种过程,不需另外的磨和/或抛光的步骤。在光学平板470上的确定部位钻孔可产生真空流动孔472。然后,在蚀刻476之前,光学平板470可进行掩模和制图,以在光学平板的上表面上形成所要求的容貌(例如,销或槽)。光学平板470的表面然后可用熟知的方法进行处理。
如上所述,从刻痕层上分离模板150,在刻痕光刻的工艺过程中是关键和最后的步骤。因为模板150和衬底可以几乎完全平行,所以,模板、刻痕层和衬底的组合导致接近的光学平板之间的基本上的均匀接触。这样一个系统通常要求有一大的分离力。在柔性模板或衬底的情形中,分离仅是一“剥离过程”。然而,从高分辨率重叠对齐的观点来看,一柔性的模板或衬底可能是不理想的。在晶体模板和硅衬底的情形中,剥离过程不容易实施。然而,从刻痕层上分离模板,可以通过“剥离和撕揭”过程成功地实现。一第一剥离和撕揭过程示于图49A,49B和49C。一第二剥离和撕揭过程示于图50A,50B和50C。一从刻痕层上分离模板的过程可包括第一和第二剥离和撕揭过程的组合。
为清晰起见,根据图1A和1B,标号12,18,20和40分别用来指明模板、迁移层、衬底和固化物质。在物质40固化之后,可倾斜模板12或衬底20,以使模板12和衬底20之间形成一角500。定向台250可用于此目的。真空加盘478将衬底20夹持就位。如果倾斜轴线紧靠模板-衬底交界面定位,则在倾斜运动过程中,模板12和衬底20之间的相对横向运动不显著。一旦模板12和衬底20之间的角500足够大时,仅采用Z轴线向的运动(即,垂直运动),模板12即可从衬底上分离。这种剥离和撕揭的方法可导致理想的容貌44完好无损地留在迁移层18和衬底20上,而不会发生不理想的剪坏。
一第二剥离和撕揭方法示于图50A,50B,50C。在第二剥离和撕揭方法中,在邻近模板处安装一个或多个压电致动器502。一个或多个压电致动器502可用来致使模板12和衬底20之间产生相对的倾斜(图50A)。压电致动器502的一端可与衬底20接触。因此,如果致动器502被放大(图50B),模板12可从衬底20上被推开;由此在两者之间形成一角。模板12和衬底20之间的Z轴线向的运动(图50C),可用来分离模板12和衬底20。致动器502的一端可以是类似于模板12的下表面的处理的表面,以防止刻痕层粘连在致动器的表面上。
总而言之,本文提供的实施例公开了用于成功刻痕光刻的系统、工艺和相关的装置,该种刻痕光刻不要求使用高温或高压。采用某些实施例,可实现模板和衬底之间间隙的精确控制,所要求的容貌将从模板迁移到衬底。此外,模板从衬底(和刻痕层)上的分离,可以做到不损坏或剪坏所要求的容貌。本文中的实施例还公开了在刻痕光刻过程中夹持衬底就位的一种方法,其表现为合适的真空卡盘的形式。其它的实施例包括适用于刻痕光刻系统的高精度X-Y的平移台。另外,还提供形成和处理合适的刻痕光刻模板的方法。
尽管本发明参照各种示例性的实施例作了描述,但这种描述不能被认为带有限制的含义。对于本技术领域内的技术人员来说,参照上述的介绍,显然会有对于示例的实施例以及本发明的其它实施例的各种改型和组合。因此,附后的权利要求书意图包括所有这样的改型或实施例。

Claims (156)

1.一种刻痕光刻模板,包括:
一包括有第一表面的本体;
在第一表面上限定一图形区域的多个下凹,其中,下凹的至少一部分具有一小于250nm的特征尺寸;以及
在图形区域中的本体上的至少一个对齐标记;
其中,模板对于触发光为透明的。
2.如权利要求1所述的模板,其特征在于,本体的至少一部分包括硅、二氧化硅、硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、石英,或其组合。
3.如权利要求1所述的模板,其特征在于,本体的至少一部分包括SiOx,其中,X小于2。
4.如权利要求1所述的模板,其特征在于,本体的至少一部分包括铟锡氧化物。
5.如权利要求1所述的模板,其特征在于,在第一表面上的多个下凹包括具有第一深度的第一下凹,以及具有第二深度的第二下凹,其中,第二深度大于第一深度。
6.如权利要求1所述的模板,其特征在于,在第一表面上的多个下凹包括具有第一深度的第一下凹,以及具有第二深度的至少一第二下凹,其中,第二深度大于第一深度,且其中,第一深度小于250nm。
7.如权利要求1所述的模板,其特征在于,本体还包括一与第一表面相对的第二表面,其中,第二表面包括至少一个已知深度的下凹。
8.如权利要求1所述的模板,其特征在于,还包括一形成在本体的一部分内的流体限制结构。
9.如权利要求1所述的模板,其特征在于,多个下凹的至少一部分包括沿垂直于第一表面的方向而变化的宽度。
10.如权利要求1所述的模板,其特征在于,第一表面具有在25℃下测得的小于40达因/厘米的表面自由能。
11.如权利要求1所述的模板,其特征在于,第一表面具有在25℃下测得的小于20达因/厘米的表面自由能。
12.如权利要求1所述的模板,其特征在于,对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的。
13.如权利要求1所述的模板,其特征在于,对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的,且其中,分析光包括可见光。
14.如权利要求1所述的模板,其特征在于,对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的,且其中,分析光包括红外线光。
15.如权利要求1所述的模板,其特征在于,对齐标志包括蚀刻在本体表面上的多条线。
16.如权利要求1所述的模板,其特征在于,对齐标志包括蚀刻在本体表面上的多条线,这些线被构造成漫射触发光,并且这些线被构造成产生一在分析光下的可分析的标志。
17.如权利要求1所述的模板,其特征在于,对齐标志包括SiOx,其中,X小于2。
18.如权利要求1所述的模板,其特征在于,对齐标志包括SiOx,其中,X为1.5。
19.如权利要求1所述的模板,其特征在于,第一表面包括一刻痕区域和一截口区域,并且多个下凹的至少一部分形成在刻痕区域上的一图形。
20.如权利要求1所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层。
21.如权利要求1所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层包括烷基硅烷、氟烷基硅烷,或氟烷基三氯硅烷与水的反应产物。
22.如权利要求1所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层包括十三烷氟-1,1,2,2-四氢辛基三氯硅烷与水的反应产物。
23.如权利要求1所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层将在25℃下测得的第一表面的表面自由能减少至小于40达因/厘米。
24.如权利要求1所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层将在25℃下测得的第一表面的表面自由能减少至小于20达因/厘米。
25.如权利要求1所述的模板,其特征在于,还包括在本体的至少一个边缘上的导电涂层。
26.如权利要求1所述的模板,其特征在于,还包括在本体的至少一个边缘上的反射涂层。
27.如权利要求1所述的模板,其特征在于,还包括连接在本体的至少一个边缘上的镜面。
28.如权利要求1所述的模板,其特征在于,还包括形成在本体的一部分上的间隙检测区域。
29.如权利要求1所述的模板,其特征在于,触发光包括紫外线光。
30.如权利要求1所述的模板,其特征在于,还包括连接在本体上的一模板坯,其中,模板坯对于触发光为透明的。
31.如权利要求1所述的模板,其特征在于,还包括用粘结剂粘结在本体上的一模板坯,其中,模板坯和粘结剂对于触发光为透明的。
32.一利用如权利要求1所述的刻痕光刻模板形成的器件。
33.一种刻痕光刻模板,包括:
一包括有一第一表面的本体;
在第一表面上限定一图形区域的多个下凹,其中,下凹的至少一部分具有一小于250nm的特征尺寸;以及
至少一个间隙检测区域,它包括在第一表面或在第二表面上在图形区域外围的清晰区域中的已知深度的一下凹;
其中,模板对于触发光为透明的。
34.如权利要求33所述的模板,其特征在于,间隙检测区域具有大于100nm的深度。
35.如权利要求33所述的模板,其特征在于,本体的至少一部分包括硅、二氧化硅、硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、晶体,或其组合。
36.如权利要求33所述的模板,其特征在于,本体的至少一部分包括SiOx,其中,X小于2。
37.如权利要求33所述的模板,其特征在于,本体的至少一部分包括铟锡氧化物。
38.如权利要求33所述的模板,其特征在于,在第一表面上的多个下凹包括具有第一深度的第一下凹,以及具有第二深度的第二下凹,其中,第二深度大于第一深度。
39.如权利要求33所述的模板,其特征在于,在第一表面上的多个下凹可包括具有第一深度的第一下凹,以及具有第二深度的至少一第二下凹,其中,第二深度大于第一深度,且其中,第一深度小于250nm。
40.如权利要求33所述的模板,其特征在于,还包括一形成在本体的一部分内的流体限制结构。
41.如权利要求33所述的模板,其特征在于,多个下凹的至少一部分包括沿垂直于第一表面的方向而变化的宽度。
42.如权利要求33所述的模板,其特征在于,第一表面具有在25℃下测得的小于40达因/厘米的表面自由能。
43.如权利要求33所述的模板,其特征在于,第一表面具有在25℃下测得的小于20达因/厘米的表面自由能。
44.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的。
45.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的,且其中,分析光包括可见光。
46.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的,且其中,分析光包括红外线光。
47.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志包括蚀刻在本体表面上的多个线。
48.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志包括蚀刻在本体表面上的多条线,这些线被构造成漫射触发光,并且这些线被构造成产生一在分析光下的可分析的标志。
49.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志包括SiOx,其中,X小于2。
50.如权利要求33所述的模板,其特征在于,所述模板还包括本体上的一对齐标志,所述对齐标志包括SiOx,其中,X为1.5。
51.如权利要求33所述的模板,其特征在于,模板具有小于250nm的平面度。
52.如权利要求33所述的模板,其特征在于,模板具有小于500nm的平面度。
53.如权利要求33所述的模板,其特征在于,第一表面包括一刻痕区域和一截口区域,且其中,多个下凹的至少一部分形成在刻痕区域上的一图形。
54.如权利要求33所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层。
55.如权利要求33所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层包括烷基硅烷、氟烷基硅烷,或氟烷基三氯硅烷与水的反应产物。
56.如权利要求33所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层包括十三烷氟-1,1,2,2-四氢辛基三氯硅烷与水的反应产物。
57.如权利要求33所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层将在25℃下测得的第一表面的表面自由能减少至小于40达因/厘米。
58.如权利要求33所述的模板,其特征在于,还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层将在25℃下测得的第一表面的表面自由能减少至小于20达因/厘米。
59.如权利要求33所述的模板,其特征在于,还包括在本体的至少一个边缘上的导电涂层。
60.如权利要求33所述的模板,其特征在于,还包括在本体的至少一个边缘上的反射涂层。
61.如权利要求33所述的模板,其特征在于,还包括连接在本体的至少一个边缘上的镜面。
62.如权利要求33所述的模板,其特征在于,触发光包括紫外线光。
63.如权利要求33所述的模板,其特征在于,还包括连接在本体上的一模板坯,其中,模板坯对于触发光为透明的。
64.如权利要求33所述的模板,其特征在于,还包括用粘结剂粘结在本体上的一模板坯,其中,模板坯和粘结剂对于触发光为透明的。
65.一利用如权利要求33所述的刻痕光刻模板形成的器件。
66.一种形成刻痕光刻模板的方法,包括:
获得对于触发光为透明的材料;
在材料的第一表面上形成限定图形区域的多个下凹,其中,多个下凹的至少一部分具有小于250nm的特征尺寸;以及
在图形区域中的材料上形成至少一个对齐标志。
67.如权利要求66所述的方法,其特征在于,在第一表面上形成多个下凹包括蚀刻材料。
68.如权利要求66所述的方法,其特征在于,在第一表面上形成多个下凹包括使用光学光刻、电子束光刻、离子束光刻、X线光刻、远紫外线光刻、扫描探针光刻、聚焦离子束蚀刻、干涉光刻、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子蚀刻,或反应性离子蚀刻工艺。
69.如权利要求66所述的方法,其特征在于,第一表面是平的、抛物线的、或球形的。
70.如权利要求66所述的方法,其特征在于,在材料上形成至少一个对齐标志包括使用光学光刻、电子束光刻、离子束光刻、X线光刻、远紫外线光刻、扫描探针光刻、聚焦离子束蚀刻、干涉光刻、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子蚀刻,或反应性离子蚀刻工艺。
71.如权利要求66所述的方法,其特征在于,材料包括硅、二氧化硅、硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、晶体,或诸物的组合。
72.如权利要求66所述的方法,其特征在于,材料包括铟锡氧化物。
73.如权利要求66所述的方法,其特征在于,形成至少一个对齐标志包括将对齐标志材料沉积在材料上,所述对齐标志材料包括SiOx,其中,X小于2。
74.如权利要求66所述的方法,其特征在于,形成至少一个对齐标志包括将对齐标志材料沉积在材料上,所述对齐标志材料包括SiOx,其中,X为1.5。
75.如权利要求66所述的方法,其特征在于,触发光包括紫外线光。
76.如权利要求66所述的方法,其特征在于,对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的。
77.如权利要求66所述的方法,其特征在于,对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的,其中,触发光包括紫外线光,且分析光包括可见光。
78.如权利要求66所述的方法,其特征在于,对齐标志对于触发光为透明的,对齐标志对于分析光为不透明的,其中,触发光包括紫外线光,且分析光包括红外线光。
79.如权利要求66所述的方法,其特征在于,在材料上形成至少一个对齐标志包括在材料的第一表面上,或在材料的第二表面上形成多个线,其中,第二表面相对于第一表面。
80.如权利要求66所述的方法,其特征在于,在材料上形成至少一个对齐标志包括将对齐标志材料沉积在材料上。
81.如权利要求66所述的方法,其特征在于,还包括将材料成形到所要求的形状。
82.如权利要求66所述的方法,其特征在于,还包括将本体连接到对于触发光为透明的模板坯上。
83.如权利要求66所述的方法,其特征在于,还包括使用粘结剂将本体连接到对于触发光为透明的模板坯上,其中,粘结剂对于触发光为透明的。
84.如权利要求66所述的方法,其特征在于,将表面处理层涂覆在第一表面的至少一部分上。
85.如权利要求66所述的方法,其特征在于,还包括将表面处理层涂覆在第一表面的至少一部分上,其中,表面处理层包括烷基硅烷、氟烷基硅烷,或氟烷基三氯硅烷与水的反应产物。
86.如权利要求66所述的方法,其特征在于,还包括将表面处理层涂覆在第一表面的至少一部分上,其中,表面处理层包括十三烷氟-1,1,2,2-四氢辛基三氯硅烷与水的反应产物。
87.如权利要求66所述的方法,其特征在于,还包括将表面处理层涂覆在第一表面的至少一部分上,其中,涂覆表面处理层包括使用汽相反应过程。
88.如权利要求66所述的方法,其特征在于,还包括将表面处理层涂覆在第一表面的至少一部分上,其中,涂覆表面处理层包括材料放置在一反应腔内,净化该反应腔,并控制至少一种反应剂化学品,其中,至少一种反应剂化学品与水反应,以形成在第一表面的至少一部分上的表面处理层。
89.如权利要求66所述的方法,其特征在于,还包括将表面处理层涂覆在第一表面的至少一部分上,其中,表面处理层将在25℃下测得的第一表面的一部分的表面自由能减少至小于40达因/厘米。
90.如权利要求66所述的方法,其特征在于,还包括将表面处理层涂覆在第一表面的至少一部分上,其中,表面处理层将在25℃下测得的第一表面的一部分的表面自由能减少至小于20达因/厘米。
91.如权利要求66所述的方法,其特征在于,还包括将反射涂层涂覆到材料的至少一个边缘上。
92.如权利要求66所述的方法,其特征在于,还包括将导电涂层涂覆到材料的至少一个边缘上。
93.如权利要求66所述的方法,其特征在于,还包括将镜面连接到材料的至少一个边缘上。
94.一使用图形模板在一衬底上形成图形的方法,该模板包括:
一包括有一第一表面的本体;
在第一表面上的多个下凹,其中,多个下凹的至少一部分形成一图形,且下凹包括尺寸上小于250nm的至少一些特征;以及
本体上的至少一个对齐标记;
其中,图形模板对于触发光为透明的;
将触发光固化液体涂覆到衬底的一部分上;
以互相隔开的关系定位图形模板和衬底,以使间隙形成在图形模板和衬底之间;
通过模板对液体施加触发光,其中,施加的触发光固化液体,且图形模板的图形形成在固化的液体中;以及
从固化液体上分离图形模板。
95.如权利要求94所述的方法,其特征在于,还包括确定在图形模板和衬底之间的对齐。
96.如权利要求94所述的方法,其特征在于,还包括确定在图形模板和衬底之间的对齐,其中,衬底包括一衬底对齐标志,且其中,确定在图形模板和衬底之间的对齐包括:
通过图形模板施加第一波长的光,其中,第一波长的光致使衬底对齐标志相对于分析工具聚焦,而模板对齐标志不聚焦;以及
通过图形模板施加第二波长的光,其中,第二波长的光致使模板对齐标志相对于分析工具聚焦,而衬底对齐标志不聚焦。
97.如权利要求94所述的方法,其特征在于,还包括确定图形模板和衬底之间的对齐,其中,衬底包括一衬底对齐标志,其中,确定对齐包括使用偏振光对齐工具,且还包括将一偏振过滤器系统放置在偏振光对齐工具和图形模板之间,其中,偏振过滤器系统包括一定向在衬底对齐标志上的第一偏振过滤器和一定向在模板对齐标志上的第二偏振过滤器,其中,能够通过第一偏振过滤器的偏振光不同于能够通过第二偏振过滤器的偏振光。
98.如权利要求94所述的方法,其特征在于,还包括确定图形模板和衬底之间的对齐,其中,确定对齐包括使用一莫里型探测器。
99.如权利要求94所述的方法,其特征在于,还包括确定图形模板和衬底之间的对齐,其中,确定对齐包括对图形模板施加一分析光,且其中,图形模板包括一第一材料,且其中,对齐标志由不同于第一材料的第二材料制成,其中,第一和第二材料对用来固化液体的触发光的波长为透明的,且其中,当分析光被施加到图形模板时,第二材料产生具有显著对比度的可分析的标志。
100.如权利要求94所述的方法,其特征在于,还包括确定图形模板和衬底之间的对齐,其中,确定对齐包括对图形模板施加一分析光,且其中,模板对齐标志包括多个蚀刻线,它们作为朝向分析光的衍射光栅,且其中,模板对齐标志对于触发光为透明的。
101.如权利要求94所述的方法,其特征在于,还包括确定图形模板和衬底之间的对齐,其中,衬底包括一衬底对齐标志,其中,模板对齐标志和衬底对齐标志包括对称的几何形,且其中,确定对齐标志的对齐包括确定衬底对齐标志和模板对齐标志的中心,且比较模板对齐标志的中心位置和衬底对齐标志的中心位置。
102.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置。
103.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,衬底包括一衬底对齐标志,其中,调整重叠放置包括移动衬底,以使模板对齐标志与衬底对齐标志对齐。
104.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,调整重叠放置包括改变图形模板相对于衬底的角度。
105.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,调整重叠放置包括改变图形模板的尺寸。
106.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,调整重叠放置包括通过改变图形模板的温度来改变图形模板的尺寸。
107.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,调整重叠放置包括通过对图形模板的至少一部分施加压缩力来改变图形模板的尺寸。
108.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,调整重叠放置包括通过对图形模板的至少一部分施加拉伸力来改变图形模板的尺寸。
109.如权利要求94所述的方法,其特征在于,还包括调整图形模板和衬底的重叠放置;其中,调整重叠放置包括改变图形模板的尺寸,其中,通过由连接到图形模板上的至少一个压电致动器施加作用力来改变图形模板的尺寸。
110.如权利要求94所述的方法,其特征在于,在衬底的一部分上涂覆触发光固化液体,其包括用流体分配器分配液体。
111.如权利要求94所述的方法,其特征在于,在衬底的一部分上涂覆触发光固化液体,其包括用流体分配器分配液体,还包括相对于流体分配器移动衬底,同时分配液体以形成预定的图形。
112.如权利要求94所述的方法,其特征在于,在衬底的一部分上涂覆触发光固化液体,其包括用流体分配器分配液体,还包括相对于流体分配器移动衬底,同时分配液体以形成预定的图形,且其中,预定图形被构造成:随着图形模板和衬底保持隔开的关系定位,当图形模板接触液体时,抑制在液体内形成气泡。
113.如权利要求94所述的方法,其特征在于,在衬底的一部分上涂覆触发光固化液体,其包括用流体分配器分配液体,还包括相对于流体分配器移动衬底,同时分配液体以形成预定的图形,且其中,选择预定图形,以使液体在与图形模板的表面积相等的区域内填充间隙。
114.如权利要求94所述的方法,其特征在于,以相隔开的关系定位图形模板和衬底,其包括:
在衬底上定位图形模板;以及
朝向衬底移动图形模板,直到实现所要求的隔开关系,其中,当图形模板朝向衬底移动时,衬底上的液体填充该间隙。
115.如权利要求94所述的方法,其特征在于,以相隔开的关系定位图形模板和衬底,其包括:以与衬底隔开小于200nm的一距离定位图形模板。
116.如权利要求94所述的方法,其特征在于,以相隔开的关系定位图形模板和衬底,其包括:以与衬底平行的定向定位图形模板。
117.如权利要求94所述的方法,其特征在于,从固化液体上分离图形模板,其包括:
移动模板至一不平行的定向;以及
移动图形模板远离衬底。
118.如权利要求94所述的方法,其特征在于,在从固化液上分离图形模板之后,固化液包括尺寸上小于250nm的某些特征。
119.如权利要求94所述的方法,其特征在于,以相隔开的关系定位图形模板和衬底,其包括:
在衬底上定位图形模板,其中,图形模板不平行于衬底;
朝向衬底移动图形模板,其中,当模板朝向衬底移动时,图形模板相对于衬底保持不平行的定向,以及
将图形模板定向在平行于衬底的方向,其中,模板对于衬底保持一所要求的隔开关系。
120.如权利要求94所述的方法,其特征在于,还包括确定在图形模板和衬底之间的距离。
121.如权利要求94所述的方法,其特征在于,还包括用光基测量装置来确定在图形模板和衬底之间的距离,该方法包括:
对模板和衬底施加光,其中,光包括多个波长;
监控从模板和衬底的表面反射的光;以及
根据监控的光确定模板和衬底之间的距离。
122.如权利要求94所述的方法,其特征在于,还包括确定一误差信号,其中,该误差信号对应于,图形模板的第一表面和衬底之间所要求的距离和图形模板的第一表面和衬底之间已确定的距离之间的差值;而且,发送误差信号到至少一个致动器,其中,至少一个致动器被构造成以互相隔开的关系定位图形模板和衬底。
123.如权利要求94所述的方法,其特征在于,衬底包括硅、镓、锗,或铟。
124.如权利要求94所述的方法,其特征在于,衬底包括绝缘材料。
125.如权利要求94所述的方法,其特征在于,衬底包括晶体、兰宝石、二氧化硅,或多晶硅。
126.如权利要求94所述的方法,其特征在于,图形模板包括硅、二氧化硅、硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、石英,或其组合。
127.如权利要求94所述的方法,其特征在于,图形模板包括铟锡氧化物。
128.如权利要求94所述的方法,其特征在于,触发光固化液体包括一紫外线光固化组分。
129.如权利要求94所述的方法,其特征在于,触发光固化液体组分包括一光刻胶材料。
130.如权利要求94所述的方法,其特征在于,还包括:
在将液体涂覆在衬底上之前,在衬底上形成一迁移层;以及
在从衬底上分离图形模板之后蚀刻迁移层,其中,蚀刻迁移层赋予迁移层以图形。
131.如权利要求94所述的方法,其特征在于,衬底包括在衬底表面上的至少一层。
132.如权利要求94所述的方法,其特征在于,衬底包括在衬底表面上的至少一层;该方法还包括确定衬底表面上的至少一层的厚度。
133.如权利要求94所述的方法,其特征在于,还包括在3个或更多个非共线的位置上确定图形模板的表面和衬底之间的距离,并根据3个或多个距离的确定来确定图形模板的第一表面和衬底是否大致地平行。
134.如权利要求94所述的方法,其特征在于,还包括确定一误差信号,其中,误差信号对应于图形模板的第一表面和衬底之间的相对运动,该相对运动是使图形模板的第一表面和衬底处于平行的外形所要求作的运动。
135.如权利要求94所述的方法,其特征在于,还包括确定一误差信号,其中,误差信号对应于图形模板的第一表面和衬底之间的相对运动,该相对运动是使图形模板的第一表面和衬底处于平行的外形所要求作的运动;并发送误差信号到至少一个致动器,其中,至少一个致动器构造成调整图形模板的第一表面和衬底的相对位置,以达到平行的结构。
136.如权利要求94所述的方法,其特征在于,模板的本体的至少一部分包括硅、二氧化硅、硅锗碳、氮化镓、硅锗、兰宝石、三氢砷化镓、外延硅、多晶硅、栅极氧化物、石英,或其组合。
137.如权利要求94所述的方法,其特征在于,模板的本体的至少一部分包括SiOx,其中,X小于2。
138.如权利要求94所述的方法,其特征在于,模板的本体的至少一部分包括铟锡氧化物。
139.如权利要求94所述的方法,其特征在于,在第一表面上的多个下凹包括具有第一深度的第一下凹,以及具有第二深度的第二下凹,其中,第二深度大于第一深度。
140.如权利要求94所述的方法,其特征在于,在第一表面上的多个下凹可包括具有第一深度的第一下凹,以及具有第二深度的至少一第二下凹,其中,第二深度大于第一深度,且其中,第一深度小于250nm。
141.如权利要求94所述的方法,其特征在于,模板的本体还包括一与第一表面相对的第二表面,其中,第二表面包括至少一个已知深度的下凹。
142.如权利要求94所述的方法,其特征在于,模板还包括一形成在本体的一部分内的流体限制结构。
143.如权利要求94所述的方法,其特征在于,模板的多个下凹的至少一部分包括沿垂直于第一表面的方向变化的宽度。
144.如权利要求94所述的方法,其特征在于,模板的第一表面具有在25℃下测得的小于40达因/厘米的表面自由能。
145.如权利要求94所述的方法,其特征在于,模板的第一表面具有在25℃下测得的小于20达因/厘米的表面自由能。
146.如权利要求94所述的方法,其特征在于,对齐标志包括SiOx,其中,X小于2。
147.如权利要求94所述的方法,其特征在于,对齐标志包括SiOx,其中,X为1.5。
148.如权利要求94所述的方法,其特征在于,模板具有小于250nm的平面度。
149.如权利要求94所述的方法,其特征在于,模板具有小于500nm的平面度。
150.如权利要求94所述的方法,其特征在于,模板还包括在第一表面的至少一部分上的一表面处理层。
151.如权利要求94所述的方法,其特征在于,模板还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层包括烷基硅烷、氟烷基硅烷,或氟烷基三氯硅烷与水的反应产物。
152.如权利要求94所述的方法,其特征在于,模板还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层包括十三烷氟-1,1,2,2-四氢辛基三氯硅烷与水的反应产物。
153.如权利要求94所述的方法,其特征在于,模板还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层将在25℃下测得的第一表面的表面自由能减少至小于40达因/厘米。
154.如权利要求94所述的方法,其特征在于,模板还包括在第一表面的至少一部分上的一表面处理层,其中,表面处理层将在25℃下测得的第一表面的表面自由能减少至小于20达因/厘米。
155.如权利要求94所述的方法,其特征在于,模板还包括连接在本体上的一模板坯,其中,模板坯对于触发光为透明的。
156.如权利要求94所述的方法,其特征在于,模板还包括用粘结剂粘结在本体上的一模板坯,其中,模板坯和粘结剂对于触发光为透明的。
CNB01820435XA 2000-10-12 2001-10-12 用于室温下低压微刻痕和毫微刻痕光刻的模板 Expired - Fee Related CN100365507C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23980800P 2000-10-12 2000-10-12
US60/239,808 2000-10-12

Publications (2)

Publication Number Publication Date
CN1531668A CN1531668A (zh) 2004-09-22
CN100365507C true CN100365507C (zh) 2008-01-30

Family

ID=22903829

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01820435XA Expired - Fee Related CN100365507C (zh) 2000-10-12 2001-10-12 用于室温下低压微刻痕和毫微刻痕光刻的模板

Country Status (7)

Country Link
US (6) US6696220B2 (zh)
EP (2) EP1352295B1 (zh)
JP (1) JP2004523906A (zh)
KR (1) KR101031528B1 (zh)
CN (1) CN100365507C (zh)
AU (1) AU2001297642A1 (zh)
WO (1) WO2002067055A2 (zh)

Families Citing this family (453)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1090293B2 (en) 1998-06-24 2019-01-23 Illumina, Inc. Decoding of array sensors with microspheres
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20050274219A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US20030003436A1 (en) * 2001-02-05 2003-01-02 Willson C. Grant Use of mesoscale self-assembly and recognition to effect delivery of sensing reagent for arrayed sensors
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
JP2003218658A (ja) * 2002-01-17 2003-07-31 Nec Corp 弾性表面波素子及び半導体装置の製造方法
US6653030B2 (en) * 2002-01-23 2003-11-25 Hewlett-Packard Development Company, L.P. Optical-mechanical feature fabrication during manufacture of semiconductors and other micro-devices and nano-devices that include micron and sub-micron features
DE10211052A1 (de) * 2002-03-13 2003-10-23 Fresenius Medical Care De Gmbh Hohlfaser-Spinndüse
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
JP2006516065A (ja) * 2002-08-01 2006-06-15 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィの散乱計測アラインメント
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
JP4209162B2 (ja) * 2002-09-20 2009-01-14 株式会社ニコン 押圧装置および相転移型双晶を有する水晶の製造方法
WO2004027472A1 (ja) * 2002-09-20 2004-04-01 Toppan Printing Co., Ltd. 光導波路及びその製造方法
US7179333B2 (en) * 2002-09-23 2007-02-20 Computrol, Inc. Closure sealant dispenser
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
KR100495055B1 (ko) * 2002-10-10 2005-06-14 엘지전자 주식회사 자외선 경화수지의 패턴 형성 장치 및 방법
US6916511B2 (en) * 2002-10-24 2005-07-12 Hewlett-Packard Development Company, L.P. Method of hardening a nano-imprinting stamp
US7378347B2 (en) * 2002-10-28 2008-05-27 Hewlett-Packard Development Company, L.P. Method of forming catalyst nanoparticles for nanowire growth and other applications
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
CN100473535C (zh) * 2002-11-21 2009-04-01 科学和工业研究协会 在玻璃和塑料基底上的彩色纳米平版印刷术
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
WO2004054784A1 (en) * 2002-12-13 2004-07-01 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
EP1443344A1 (en) * 2003-01-29 2004-08-04 Heptagon Oy Manufacturing micro-structured elements
US7374864B2 (en) * 2003-02-13 2008-05-20 The Regents Of The University Of Michigan Combined nanoimprinting and photolithography for micro and nano devices fabrication
JP3910926B2 (ja) * 2003-02-26 2007-04-25 株式会社東芝 表示装置用透明基板の製造方法
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
DE10311855B4 (de) 2003-03-17 2005-04-28 Infineon Technologies Ag Anordnung zum Übertragen von Informationen/Strukturen auf Wafer unter Verwendung eines Stempels
US20040183220A1 (en) * 2003-03-18 2004-09-23 Avinash Dalmia Ultra thin layer coating using self-assembled molecules as a separating layer for diffraction grating application
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US20040209123A1 (en) * 2003-04-17 2004-10-21 Bajorek Christopher H. Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off
US20050064452A1 (en) * 2003-04-25 2005-03-24 Schmid Matthew J. System and method for the detection of analytes
DE602004030001D1 (de) * 2003-05-14 2010-12-23 Molecular Imprints Inc Verfahren, system, halter und anordnung zur übertragung von templates bei imprint-lithographieverfahren
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US7651850B2 (en) * 2003-05-16 2010-01-26 Board Of Regents, The University Of Texas System Image and part recognition technology
US9317922B2 (en) 2003-05-16 2016-04-19 Board Of Regents The University Of Texas System Image and part recognition technology
TW571087B (en) * 2003-06-02 2004-01-11 Chen-Hung He Method and system for monitoring the mold strain in nanoimprint lithography technique
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
TW200503167A (en) * 2003-06-20 2005-01-16 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
DE10330456B9 (de) * 2003-07-05 2007-11-08 Erich Thallner Vorrichtung zum Erstellen einer Oberflächenstruktur auf einem Wafer
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
TWI220267B (en) * 2003-07-31 2004-08-11 Univ Nat Cheng Kung Manufacturing method of transferring pattern with high aspect ratio
DE10343323A1 (de) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stempellithografieverfahren sowie Vorrichtung und Stempel für die Stempellithografie
US8268446B2 (en) 2003-09-23 2012-09-18 The University Of North Carolina At Chapel Hill Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7557433B2 (en) 2004-10-25 2009-07-07 Mccain Joseph H Microelectronic device with integrated energy source
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
EP1538482B1 (en) 2003-12-05 2016-02-17 Obducat AB Device and method for large area lithography
US7153360B2 (en) * 2003-12-16 2006-12-26 Hewlett-Packard Development Company, Lp. Template and methods for forming photonic crystals
US9040090B2 (en) * 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
EP3242318A1 (en) * 2003-12-19 2017-11-08 The University of North Carolina at Chapel Hill Monodisperse micro-structure or nano-structure product
KR100595515B1 (ko) * 2003-12-31 2006-07-03 엘지전자 주식회사 미세 구조물 성형용 금형 및 미세 구조 성형용 금형의단층막 이형제 코팅 방법
US7255805B2 (en) * 2004-01-12 2007-08-14 Hewlett-Packard Development Company, L.P. Photonic structures, devices, and methods
US20050151282A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece handler and alignment assembly
US20050158419A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
US20050155554A1 (en) * 2004-01-20 2005-07-21 Saito Toshiyuki M. Imprint embossing system
US7686606B2 (en) * 2004-01-20 2010-03-30 Wd Media, Inc. Imprint embossing alignment system
US9307648B2 (en) 2004-01-21 2016-04-05 Microcontinuum, Inc. Roll-to-roll patterning of transparent and metallic layers
US9039401B2 (en) 2006-02-27 2015-05-26 Microcontinuum, Inc. Formation of pattern replicating tools
AU2005220150A1 (en) * 2004-02-13 2005-09-15 The University Of North Carolina At Chapel Hill Functional materials and novel methods for the fabrication of microfluidic devices
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7229266B2 (en) * 2004-03-23 2007-06-12 Komag, Inc. Press die alignment
JP4481698B2 (ja) * 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
EP1731290A1 (en) * 2004-03-30 2006-12-13 Pioneer Corporation Pattern transfer device and pattern transfer method
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
EP1594001B1 (en) 2004-05-07 2015-12-30 Obducat AB Device and method for imprint lithography
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
WO2005119802A2 (en) * 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
JP4792028B2 (ja) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
EP1774407B1 (en) * 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
JP4574240B2 (ja) * 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
WO2006024908A2 (en) * 2004-08-10 2006-03-09 Asml Netherlands B.V. Imprint lithographic apparatus, device manufacturing method and device manufactured thereby
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7252862B2 (en) * 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7492979B2 (en) * 2004-09-27 2009-02-17 Hewlett-Packard Development Company, L.P. Photonic crystal laser sensors and methods
JP2006106263A (ja) * 2004-10-04 2006-04-20 Fujinon Sano Kk 光学素子の製造方法
US7654816B2 (en) 2004-10-07 2010-02-02 Hewlett-Packard Development Company, L.P. Lithographic mask alignment
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8721952B2 (en) * 2004-11-16 2014-05-13 International Business Machines Corporation Pneumatic method and apparatus for nano imprint lithography having a conforming mask
US7344955B2 (en) * 2004-11-19 2008-03-18 International Business Machines Corporation Cut-and-paste imprint lithographic mold and method therefor
KR101275478B1 (ko) * 2004-11-22 2013-06-14 스미토모덴키고교가부시키가이샤 가공방법, 가공장치 및 그 방법에 의해 제조된 미세구조체
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
WO2006060758A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
JP2006165371A (ja) 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
KR100633019B1 (ko) * 2004-12-24 2006-10-12 한국기계연구원 미세 임프린트 리소그래피 공정에서 스탬프와 기판의이격공정 및 그 장치
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
FI20055022A (fi) * 2005-01-17 2006-07-18 Avantone Oy Menetelmä ja laitteisto stanssauksessa sekä stanssauskone
US20060157898A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Imprint reference template for multilayer or multipattern registration and method therefor
US7796800B2 (en) * 2005-01-28 2010-09-14 Hewlett-Packard Development Company, L.P. Determining a dimensional change in a surface using images acquired before and after the dimensional change
US20060169592A1 (en) * 2005-01-31 2006-08-03 Hewlett-Packard Development Company, L.P. Periodic layered structures and methods therefor
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
KR20070119624A (ko) * 2005-02-03 2007-12-20 유니버시티 오브 노스캐롤라이나 앳 채플 힐 액정 디스플레이에서 사용되는 낮은 표면 에너지 고분자물질
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7277619B2 (en) * 2005-03-04 2007-10-02 Hewlett-Packard Development Company, L.P. Nano-imprinted photonic crystal waveguide
KR100729427B1 (ko) * 2005-03-07 2007-06-15 주식회사 디엠에스 미세패턴 형성장치
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US8017218B2 (en) * 2005-03-08 2011-09-13 Forskarpatent I Linkoping Ab Micro and nano structures in an elastomeric material
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US7473499B2 (en) * 2005-05-19 2009-01-06 Searete Llc Electroactive polymers for lithography
US7993800B2 (en) * 2005-05-19 2011-08-09 The Invention Science Fund I, Llc Multilayer active mask lithography
US8076227B2 (en) * 2005-05-19 2011-12-13 The Invention Science Fund I, Llc Electroactive polymers for lithography
US8872135B2 (en) * 2005-05-19 2014-10-28 The Invention Science Fund I, Llc Electroactive polymers for lithography
US7435514B2 (en) 2005-05-19 2008-10-14 Searete Llc Active mask lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
EP1731960A1 (en) * 2005-06-07 2006-12-13 Obducat AB Apparatus and method for separating a composite
JP3958344B2 (ja) * 2005-06-07 2007-08-15 キヤノン株式会社 インプリント装置、インプリント方法及びチップの製造方法
US7927089B2 (en) * 2005-06-08 2011-04-19 Canon Kabushiki Kaisha Mold, apparatus including mold, pattern transfer apparatus, and pattern forming method
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US20080202360A1 (en) * 2005-06-13 2008-08-28 Korea Institute Of Machinery & Materials Imprinting Apparatus For Forming Pattern at Uniform Contact by Additional Constant Pressure
US7629259B2 (en) 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
JP2007027361A (ja) * 2005-07-15 2007-02-01 Toppan Printing Co Ltd インプリント用モールド
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
WO2007133235A2 (en) * 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
EP2537657A3 (en) 2005-08-09 2016-05-04 The University of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
US8202075B2 (en) * 2005-08-12 2012-06-19 Canon Kabushiki Kaisha Imprint apparatus and imprint method
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US8011916B2 (en) * 2005-09-06 2011-09-06 Canon Kabushiki Kaisha Mold, imprint apparatus, and process for producing structure
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
EP1764648B1 (de) * 2005-09-14 2012-05-23 Thallner, Erich, Dipl.-Ing. Stempel mit einer Nanostempelstruktur sowie Vorrichtung und Verfahren zu dessen Herstellung
US20100215909A1 (en) * 2005-09-15 2010-08-26 Macdonald Susan S Photomask for the Fabrication of a Dual Damascene Structure and Method for Forming the Same
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
US7491049B2 (en) * 2005-09-30 2009-02-17 Hon Hai Precision Industry Co., Ltd. Apparatus for hot embossing lithography
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP5268239B2 (ja) * 2005-10-18 2013-08-21 キヤノン株式会社 パターン形成装置、パターン形成方法
JP4533358B2 (ja) * 2005-10-18 2010-09-01 キヤノン株式会社 インプリント方法、インプリント装置およびチップの製造方法
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) * 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US20070125475A1 (en) * 2005-12-05 2007-06-07 Huber Engineered Woods Llc Handheld tape applicator and components thereof, and their methods of use
EP1957249B1 (en) * 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1795497B1 (en) * 2005-12-09 2012-03-14 Obducat AB Apparatus and method for transferring a pattern with intermediate stamp
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US20070138699A1 (en) 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7943080B2 (en) * 2005-12-23 2011-05-17 Asml Netherlands B.V. Alignment for imprint lithography
US7500431B2 (en) * 2006-01-12 2009-03-10 Tsai-Wei Wu System, method, and apparatus for membrane, pad, and stamper architecture for uniform base layer and nanoimprinting pressure
JP4736821B2 (ja) * 2006-01-24 2011-07-27 株式会社日立製作所 パターン形成方法およびパターン形成装置
JP5213335B2 (ja) * 2006-02-01 2013-06-19 キヤノン株式会社 インプリント用モールド、該モールドによる構造体の製造方法
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US20070190805A1 (en) * 2006-02-10 2007-08-16 Benjamin Szu-Min Lin Method for improving the alignment accuracy of semiconductor process and method of forming opening
US20090068765A1 (en) * 2006-03-08 2009-03-12 Kenichi Murooka Method of manufacturing semiconductor device and apparatus for manufacturing semiconductor device
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
TW200801794A (en) * 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
CN101405087A (zh) * 2006-04-03 2009-04-08 分子制模股份有限公司 光刻印刷系统
US8193591B2 (en) 2006-04-13 2012-06-05 Freescale Semiconductor, Inc. Transistor and method with dual layer passivation
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
JP4814682B2 (ja) 2006-04-18 2011-11-16 株式会社日立ハイテクノロジーズ 微細構造パターンの転写方法及び転写装置
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
JP4958614B2 (ja) * 2006-04-18 2012-06-20 キヤノン株式会社 パターン転写装置、インプリント装置、パターン転写方法および位置合わせ装置
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
DE102006019962A1 (de) * 2006-04-28 2007-11-08 Infineon Technologies Ag Imprint-Maske und Verfahren zum Ausrichten der Imprint-Maske
KR101261606B1 (ko) 2006-05-09 2013-05-09 삼성디스플레이 주식회사 표시판의 제조 장치 및 제조 방법
JP4854383B2 (ja) * 2006-05-15 2012-01-18 アピックヤマダ株式会社 インプリント方法およびナノ・インプリント装置
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
DE102006024524A1 (de) * 2006-05-23 2007-12-06 Von Ardenne Anlagentechnik Gmbh Infrarotstrahlung reflektierendes, transparentes Schichtsystem
WO2007142250A1 (en) 2006-05-31 2007-12-13 Canon Kabushiki Kaisha Gap measuring method, imprint method, and imprint apparatus
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
JP4996150B2 (ja) 2006-07-07 2012-08-08 株式会社日立ハイテクノロジーズ 微細構造転写装置および微細構造転写方法
WO2008011051A1 (en) * 2006-07-17 2008-01-24 Liquidia Technologies, Inc. Nanoparticle fabrication methods, systems, and materials
WO2008014519A2 (en) * 2006-07-28 2008-01-31 Microcontinuum, Inc. Addressable flexible patterns
WO2008091386A2 (en) * 2006-08-04 2008-07-31 Northwestern University Biomimetic modular adhesive complex: material, methods and applications therefore
KR100831046B1 (ko) * 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
US7780431B2 (en) * 2006-09-14 2010-08-24 Hewlett-Packard Development Company, L.P. Nanoimprint molds and methods of forming the same
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
WO2008097278A2 (en) 2006-09-19 2008-08-14 Molecular Imprints, Inc. Etch-enhanced technique for lift-off patterning
JP4926881B2 (ja) * 2006-09-22 2012-05-09 キヤノン株式会社 インプリント装置およびアライメント方法
JP2008091782A (ja) * 2006-10-04 2008-04-17 Toshiba Corp パターン形成用テンプレート、パターン形成方法、及びナノインプリント装置
US7946837B2 (en) 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
KR100795242B1 (ko) * 2006-11-03 2008-01-15 학교법인 포항공과대학교 반도체 소자의 게이트 형성 방법 및 그 게이트 구조
JP2008119870A (ja) * 2006-11-09 2008-05-29 Toppan Printing Co Ltd インプリントモールド
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
KR100790899B1 (ko) * 2006-12-01 2008-01-03 삼성전자주식회사 얼라인 마크가 형성된 템플릿 및 그 제조 방법
JP4922774B2 (ja) * 2007-01-26 2012-04-25 株式会社東芝 パターン形成方法及びパターン形成用モールド
JP5238164B2 (ja) 2007-01-26 2013-07-17 株式会社東芝 パターン形成方法
US7846345B2 (en) * 2007-01-31 2010-12-07 United Microelectronics Corp. Method of manufacturing an imprinting template using a semiconductor manufacturing process and the imprinting template obtained
EP2118706B1 (en) * 2007-02-06 2019-09-18 Canon Kabushiki Kaisha Imprint apparatus and process
JP5188192B2 (ja) * 2007-02-20 2013-04-24 キヤノン株式会社 モールド、モールドの製造方法、インプリント装置及びインプリント方法、インプリント方法を用いた構造体の製造方法
US8940117B2 (en) 2007-02-27 2015-01-27 Microcontinuum, Inc. Methods and systems for forming flexible multilayer structures
US20080206602A1 (en) * 2007-02-28 2008-08-28 Katine Jordan A Nanoimprinting of topography for patterned magnetic media
JP2008225417A (ja) * 2007-03-16 2008-09-25 Fujitsu Ltd 構造体の製造方法
WO2008118861A2 (en) * 2007-03-23 2008-10-02 The University Of North Carolina At Chapel Hill Discrete size and shape specific organic nanoparticles designed to elicit an immune response
US7749422B2 (en) * 2007-03-30 2010-07-06 International Business Machines Corporation Release layer for imprinted photocationic curable resins
US7641467B2 (en) 2007-05-02 2010-01-05 Asml Netherlands B.V. Imprint lithography
KR100881233B1 (ko) * 2007-05-23 2009-02-05 한국기계연구원 임프린트 리소그래피용 스탬프 및 이를 이용한 임프린트리소그래피방법
US20090115094A1 (en) * 2007-05-29 2009-05-07 Chou Stephen Y Methods for making continuous nanochannels
JP5387406B2 (ja) 2007-06-20 2014-01-15 旭硝子株式会社 表面に微細パターンを有する成形体の製造方法
US20090013527A1 (en) * 2007-07-11 2009-01-15 International Business Machines Corporation Collapsable connection mold repair method utilizing femtosecond laser pulse lengths
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
JP5274128B2 (ja) * 2007-08-03 2013-08-28 キヤノン株式会社 インプリント方法および基板の加工方法
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
JP5173311B2 (ja) * 2007-08-09 2013-04-03 キヤノン株式会社 インプリント方法、インプリント装置および半導体製造方法
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US20100204459A1 (en) * 2007-08-27 2010-08-12 The Regents Of The University Of California Systems and methods for producing multi-component colloidal structures
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US20100215794A1 (en) * 2007-09-06 2010-08-26 Entire Technology Co., Ltd. Diffusion film molding tool & manufacturing process thereof
KR101051162B1 (ko) * 2007-09-18 2011-07-21 주식회사 하이닉스반도체 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
JP4880561B2 (ja) * 2007-10-03 2012-02-22 新光電気工業株式会社 フリップチップ実装装置
NL1036034A1 (nl) 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
FR2922813B1 (fr) * 2007-10-31 2010-04-09 Lyon Ecole Centrale Dispositif et procedes de microtamponnage et tampon pour ce dispositif
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
JP5727788B2 (ja) * 2007-11-21 2015-06-03 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリント・リソグラフィ用の多孔質テンプレートおよびインプリント用スタック
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8114331B2 (en) 2008-01-02 2012-02-14 International Business Machines Corporation Amorphous oxide release layers for imprint lithography, and method of use
US8029716B2 (en) * 2008-02-01 2011-10-04 International Business Machines Corporation Amorphous nitride release layers for imprint lithography, and method of use
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8210840B2 (en) * 2008-04-17 2012-07-03 Massachusetts Institute Of Technology Diaphragm flexure with large range and high load capacity
US8795572B2 (en) * 2008-04-17 2014-08-05 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
EP2289955B1 (en) 2008-05-29 2014-10-15 Asahi Glass Company, Limited Photocurable composition and manufacturing method for a molded body having a fine pattern on the surface
US7920329B2 (en) * 2008-06-20 2011-04-05 Aptina Imaging Corporation Embedded lens for achromatic wafer-level optical module and methods of forming the same
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US8043085B2 (en) 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
NL2003347A (en) 2008-09-11 2010-03-16 Asml Netherlands Bv Imprint lithography.
US9104948B2 (en) 2008-09-16 2015-08-11 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
US8678284B2 (en) 2008-09-16 2014-03-25 Ramot At Tel-Aviv University Ltd. System and a method for nano imprinting
TW201022017A (en) * 2008-09-30 2010-06-16 Molecular Imprints Inc Particle mitigation for imprint lithography
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
NL2003380A (en) 2008-10-17 2010-04-20 Asml Netherlands Bv Imprint lithography apparatus and method.
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8628712B2 (en) * 2008-10-27 2014-01-14 Molecular Imprints, Inc. Misalignment management
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
SG171832A1 (en) * 2008-12-05 2011-07-28 Asahi Glass Co Ltd Photocurable composition and method for manufacturing a molded body having a fine surface pattern
KR20110110107A (ko) 2008-12-15 2011-10-06 아사히 가라스 가부시키가이샤 광경화성 재료의 제조 방법, 광경화성 재료 및 물품
EP2199855B1 (en) * 2008-12-19 2016-07-20 Obducat Methods and processes for modifying polymer material surface interactions
EP2199854B1 (en) * 2008-12-19 2015-12-16 Obducat AB Hybrid polymer mold for nano-imprinting and method for making the same
NL2003875A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2003871A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography.
JP5315263B2 (ja) * 2009-02-05 2013-10-16 Agcセイミケミカル株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
JP4825891B2 (ja) 2009-03-31 2011-11-30 株式会社東芝 半導体装置の製造方法およびテンプレート
JP5404140B2 (ja) * 2009-04-01 2014-01-29 株式会社東芝 テンプレート及び半導体装置の製造方法
NL2004265A (en) 2009-04-01 2010-10-04 Asml Netherlands Bv Imprint lithography apparatus and method.
JP5377053B2 (ja) * 2009-04-17 2013-12-25 株式会社東芝 テンプレート及びその製造方法、並びにパターン形成方法
NL2004266A (en) 2009-04-27 2010-10-28 Asml Netherlands Bv An actuator.
NL2004409A (en) 2009-05-19 2010-11-22 Asml Netherlands Bv Imprint lithography apparatus.
US9164375B2 (en) * 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
NL2004680A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004735A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004681A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004685A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004932A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
JP5284212B2 (ja) * 2009-07-29 2013-09-11 株式会社東芝 半導体装置の製造方法
NL2004945A (en) 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004949A (en) 2009-08-21 2011-02-22 Asml Netherlands Bv Inspection method and apparatus.
EP3293573A1 (en) * 2009-08-26 2018-03-14 Molecular Imprints, Inc. Functional nanoparticles
NL2005007A (en) 2009-08-28 2011-03-01 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005254A (en) * 2009-09-22 2011-03-23 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005263A (en) 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005259A (en) 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005265A (en) 2009-10-07 2011-04-11 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
US8496466B1 (en) 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
US8402638B1 (en) 2009-11-06 2013-03-26 Wd Media, Inc. Press system with embossing foil free to expand for nano-imprinting of recording media
US9330685B1 (en) 2009-11-06 2016-05-03 WD Media, LLC Press system for nano-imprinting of recording media with a two step pressing method
JP2011103362A (ja) * 2009-11-10 2011-05-26 Toshiba Corp パターン形成方法
WO2011064020A1 (en) 2009-11-24 2011-06-03 Asml Netherlands B.V. Alignment and imprint lithography
NL2005436A (en) 2009-11-30 2011-05-31 Asml Netherlands Bv Inspection method and apparatus.
NL2005435A (en) 2009-11-30 2011-05-31 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2005434A (en) 2009-12-18 2011-06-21 Asml Netherlands Bv Imprint lithography.
NL2005735A (en) 2009-12-23 2011-06-27 Asml Netherlands Bv Imprint lithographic apparatus and imprint lithographic method.
US8747092B2 (en) 2010-01-22 2014-06-10 Nanonex Corporation Fast nanoimprinting apparatus using deformale mold
EP2529274B1 (en) 2010-01-29 2014-10-08 Canon Nanotechnologies, Inc. Nanoimprint lithography processes for forming nanoparticles
WO2011096368A1 (ja) 2010-02-03 2011-08-11 旭硝子株式会社 微細凹凸構造を表面に有する物品の製造方法
KR101772993B1 (ko) * 2010-02-05 2017-08-31 캐논 나노테크놀로지즈 인코퍼레이티드 고 콘트라스트 정렬 마크를 갖는 주형
KR20110092546A (ko) * 2010-02-09 2011-08-18 삼성전자주식회사 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
NL2005865A (en) 2010-02-16 2011-08-17 Asml Netherlands Bv Imprint lithography.
JP5732724B2 (ja) * 2010-02-18 2015-06-10 大日本印刷株式会社 ナノインプリント方法
NL2005975A (en) 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
NL2005992A (en) 2010-03-22 2011-09-23 Asml Netherlands Bv Imprint lithography.
NL2006004A (en) 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
NL2006454A (en) 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
US20110272838A1 (en) * 2010-05-06 2011-11-10 Matt Malloy Apparatus, System, and Method for Nanoimprint Template with a Backside Recess Having Tapered Sidewalls
JP2011258605A (ja) * 2010-06-04 2011-12-22 Toshiba Corp パターン形成方法および半導体デバイスの製造方法
NL2006747A (en) 2010-07-26 2012-01-30 Asml Netherlands Bv Imprint lithography alignment method and apparatus.
JP5647829B2 (ja) * 2010-07-30 2015-01-07 Agcセイミケミカル株式会社 光硬化性組成物および表面に微細パターンを有する成形体の製造方法
KR101692101B1 (ko) 2010-08-04 2017-01-02 아사히 가라스 가부시키가이샤 광 경화성 조성물 및 표면에 미세 패턴을 갖는 성형체의 제조 방법
WO2012016744A1 (en) 2010-08-05 2012-02-09 Asml Netherlands B.V. Imprint lithography
JP5648362B2 (ja) * 2010-08-10 2015-01-07 住友電気工業株式会社 ナノインプリント用モールドの製造方法、ナノインプリント法による樹脂パターンの製造方法、及び、ナノインプリント用モールド
WO2012019874A1 (en) 2010-08-13 2012-02-16 Asml Netherlands B.V. Lithography method and apparatus
NL2007128A (en) 2010-08-16 2012-02-20 Asml Netherlands Bv Imprint lithography inspection method and apparatus.
WO2012025316A1 (en) 2010-08-26 2012-03-01 Asml Netherlands B.V. Imprint lithography method and imprintable medium
JP5247777B2 (ja) * 2010-08-30 2013-07-24 キヤノン株式会社 インプリント装置およびデバイス製造方法
WO2012058565A2 (en) 2010-10-29 2012-05-03 Nanoink, Inc. Injection molding of micron and nano scale features for pharmaceutical brand protection
CN103282303A (zh) 2010-11-05 2013-09-04 分子制模股份有限公司 使用双释放层的功能纳米颗粒的纳米压印光刻形成
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8845912B2 (en) 2010-11-22 2014-09-30 Microcontinuum, Inc. Tools and methods for forming semi-transparent patterning masks
KR20130006744A (ko) 2011-04-05 2013-01-18 삼성전자주식회사 마스크의 제조 방법 및 이를 수행하기 위한 장치
US9136794B2 (en) 2011-06-22 2015-09-15 Research Triangle Institute, International Bipolar microelectronic device
CZ2011555A3 (cs) * 2011-09-06 2013-03-13 Active Optix S.R.O. Zpusob vytvárení výrobku s funkcním reliéfním povrchem s vysokým rozlisením
JP5694889B2 (ja) * 2011-09-29 2015-04-01 富士フイルム株式会社 ナノインプリント方法およびそれに用いられるナノインプリント装置並びにパターン化基板の製造方法
JP5891006B2 (ja) * 2011-11-01 2016-03-22 東京エレクトロン株式会社 光干渉システム、基板処理装置及び計測方法
US8829514B2 (en) 2011-12-14 2014-09-09 E Ink Holdings Inc. Thin film transistor and method for manufacturing the same
US9278857B2 (en) * 2012-01-31 2016-03-08 Seagate Technology Inc. Method of surface tension control to reduce trapped gas bubbles
JP5661666B2 (ja) 2012-02-29 2015-01-28 株式会社東芝 パターン形成装置及び半導体装置の製造方法
WO2013165415A1 (en) 2012-05-02 2013-11-07 Nanoink, Inc. Molding of micron and nano scale features
CN102929100B (zh) * 2012-11-22 2014-11-19 南昌欧菲光纳米科技有限公司 一种可对准卷对卷uv成型的装置及方法
WO2014115728A1 (ja) * 2013-01-24 2014-07-31 綜研化学株式会社 光透過型インプリント用モールド、大面積モールドの製造方法
US9385089B2 (en) 2013-01-30 2016-07-05 Seagate Technology Llc Alignment mark recovery with reduced topography
US9426886B2 (en) 2013-01-30 2016-08-23 Seagate Technology Llc Electrical connection with reduced topography
US9343089B2 (en) * 2013-03-08 2016-05-17 Seagate Technology Llc Nanoimprint lithography for thin film heads
WO2014145360A1 (en) 2013-03-15 2014-09-18 Nanonex Corporation Imprint lithography system and method for manufacturing
WO2014145826A2 (en) 2013-03-15 2014-09-18 Nanonex Corporation System and methods of mold/substrate separation for imprint lithography
CN103116242B (zh) * 2013-03-15 2014-10-01 南京大学 一种无需对准纳米压印制备异质结构的方法
US9589797B2 (en) 2013-05-17 2017-03-07 Microcontinuum, Inc. Tools and methods for producing nanoantenna electronic devices
KR102056902B1 (ko) 2013-05-29 2019-12-18 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
KR102089661B1 (ko) 2013-08-27 2020-03-17 삼성전자주식회사 와이어 그리드 편광판 및 이를 구비하는 액정 표시패널 및 액정 표시장치
JP5804160B2 (ja) * 2013-09-19 2015-11-04 大日本印刷株式会社 インプリント方法およびインプリントモールドの製造方法
JP6363838B2 (ja) * 2014-01-08 2018-07-25 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
WO2016089308A1 (en) * 2014-12-02 2016-06-09 Agency for Science,Technology and Research Photolithography methods
JP6448469B2 (ja) * 2015-05-27 2019-01-09 東芝メモリ株式会社 テンプレートおよびパターン形成方法
JP6403017B2 (ja) * 2015-08-04 2018-10-10 東芝メモリ株式会社 インプリント用テンプレート基板の製造方法、インプリント用テンプレート基板、インプリント用テンプレート、および半導体装置の製造方法
KR102609587B1 (ko) 2016-07-19 2023-12-05 삼성디스플레이 주식회사 임프린트 스탬프의 제조 방법 및 이를 이용하여 제조된 표시 장치
CN105974731B (zh) * 2016-07-25 2020-01-03 京东方科技集团股份有限公司 一种压印板、检测方法及检测装置
KR20180023102A (ko) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 와이어 그리드 패턴 및 이의 제조방법
US10627715B2 (en) * 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
KR102231664B1 (ko) * 2016-12-02 2021-03-23 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피 공정들에서 광학 층들을 구성하는 방법
US10712660B2 (en) * 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
JP2020044456A (ja) * 2017-01-18 2020-03-26 綜研化学株式会社 ハードコートフィルム及びその製造方法
CN110546734A (zh) 2017-03-08 2019-12-06 佳能株式会社 固化物图案的制造方法和光学部件、电路板和石英模具复制品的制造方法以及用于压印预处理的涂覆材料及其固化物
KR102256347B1 (ko) 2017-03-08 2021-05-27 캐논 가부시끼가이샤 패턴 형성 방법, 및 가공 기판, 광학 부품 및 석영 몰드 레플리카의 제조 방법, 및 임프린트 전처리 코팅 재료 및 그와 임프린트 레지스트와의 세트
JP6993782B2 (ja) 2017-03-09 2022-01-14 キヤノン株式会社 インプリント装置および物品製造方法
EP3595864B1 (en) 2017-03-16 2022-07-27 Molecular Imprints, Inc. Optical polymer films and methods for casting the same
KR102288981B1 (ko) * 2017-04-17 2021-08-13 에스케이하이닉스 주식회사 임프린트 템플레이트 및 임프린트 패턴 형성 방법
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
DE102017118836B3 (de) 2017-08-17 2019-01-17 Marco Systemanalyse Und Entwicklung Gmbh Dosiervorrichtung
JP7142691B2 (ja) 2017-10-17 2022-09-27 マジック リープ, インコーポレイテッド ポリマー製品を成型する方法および装置
US10679110B2 (en) 2018-04-01 2020-06-09 Ramot At Tel-Aviv University Ltd. Nanotags for authentication
US11137536B2 (en) * 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11009661B2 (en) 2018-10-16 2021-05-18 Magic Leap, Inc. Methods and apparatuses for casting polymer products
CN109445247B (zh) * 2018-11-16 2020-06-19 京东方科技集团股份有限公司 压印模板及其制备方法和压印方法
US11018018B2 (en) 2018-12-05 2021-05-25 Canon Kabushiki Kaisha Superstrate and methods of using the same
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
CN113646668A (zh) 2019-04-11 2021-11-12 应用材料公司 用于光学装置的多深度膜
NL2023097B1 (en) * 2019-05-09 2020-11-30 Suss Microtec Lithography Gmbh Stamp replication device and method for producing a holding means for a stamp replication device as well as a stamp
WO2020234848A1 (en) * 2019-05-22 2020-11-26 Vuereal Inc. Systems and methods for transferring devices or patterns to a substrate
CN110333643B (zh) * 2019-08-06 2023-05-12 广纳四维(广东)光电科技有限公司 一种纳米压印模板、其制备方法及纳米压印方法
CN110838832B (zh) * 2019-11-18 2022-06-21 中国电子科技集团公司第二十六研究所 一种微型三维叠装的mems谐振器件的制造方法
JP7414576B2 (ja) 2020-02-21 2024-01-16 キヤノン株式会社 位置計測装置、重ね合わせ検査装置、位置計測方法、インプリント装置および物品の製造方法
CN112588222B (zh) * 2020-11-25 2022-02-18 浙江大学 声表面波调控孔隙率与排布的多孔聚合物制备装置与方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5355219A (en) * 1992-12-18 1994-10-11 Matsushita Electric Industrial Co., Ltd. Gap control apparatus and method utilizing heterodyne signal phase difference detection
US6088103A (en) * 1995-05-31 2000-07-11 Massachusetts Institute Of Technology Optical interference alignment and gapping apparatus

Family Cites Families (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US473725A (en) * 1892-04-26 Stone or ore crushing machine
GB1146618A (en) 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3770852A (en) * 1966-04-12 1973-11-06 Nat Distillers Chem Corp Polyolefin resin blends
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
DE3167483D1 (en) * 1980-09-05 1985-01-10 Matsushita Electric Ind Co Ltd A method of producing an information recording disk
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4512948A (en) 1982-03-03 1985-04-23 Owens-Illinois, Inc. Method for making poly(ethylene terephthalate) article
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
NL8600809A (nl) 1986-03-28 1987-10-16 Philips Nv Methode om een matrijs te voorzien van een loslaag.
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
US5144552A (en) * 1986-07-25 1992-09-01 Ricoh Company, Ltd. Optical information storage medium having grooves and pits with specific depths, respectively
JPS6334108A (ja) 1986-07-30 1988-02-13 Hitachi Ltd 光デイスク用基板の製造方法および装置
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US5344304A (en) * 1987-09-05 1994-09-06 Canon Kabushiki Kaisha Mold for molding of substrate for information recording medium
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5639300A (en) * 1987-12-07 1997-06-17 Massachusetts Institute Of Technology Epitaxy with reusable template
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
DE3810391A1 (de) * 1988-03-26 1989-10-05 Leybold Ag Einrichtung und verfahren fuer die steuerung und ueberwachung eines ablenkbaren elektronenstrahls fuer die metallbearbeitung
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5234717A (en) * 1990-06-14 1993-08-10 Nippon Sheet Glass Co., Ltd. Process for producing a minute-patterned substrate
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JPH0536128A (ja) * 1990-12-20 1993-02-12 Hitachi Ltd 高密度情報記録媒体及びそれを用いた記録装置
NL9100215A (nl) * 1991-02-07 1992-09-01 Asm Lithography Bv Inrichting voor het repeterend afbeelden van een maskerpatroon op een substraat.
SE467678B (sv) 1991-03-26 1992-08-24 Lennart Olsson Saett och anordning foer foeraendring av vinkelhastigheten hos ett drivet roterande mediabaerorgan
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (ja) 1991-07-16 1993-01-29 Nikon Corp 保持装置
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
KR0157279B1 (ko) 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5874820A (en) * 1995-04-04 1999-02-23 Nikon Corporation Window frame-guided stage mechanism
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5632936A (en) * 1994-05-04 1997-05-27 Ciba-Geigy Ag Method and apparatus for molding ophthalmic lenses using vacuum injection
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
DE19509452A1 (de) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5648188A (en) * 1995-06-07 1997-07-15 International Business Machines Corporation Real time alignment system for a projection electron beam lithographic system
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5804017A (en) * 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US6614522B1 (en) * 1995-09-08 2003-09-02 Integ, Inc. Body fluid sampler
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
DE938597T1 (de) 1996-09-06 2000-03-09 Obducat Ab Verfahren für das anisotrope ätzen von strukturen in leitende materialien
KR100483224B1 (ko) * 1996-10-30 2005-09-30 세이코 엡슨 가부시키가이샤 컬러필터 및 그의 제조방법
JPH10172897A (ja) 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
JPH10242041A (ja) * 1997-02-26 1998-09-11 Nikon Corp 位置検出方法及びその装置並びに露光装置
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JP4038272B2 (ja) * 1997-06-04 2008-01-23 東芝松下ディスプレイテクノロジー株式会社 液晶表示装置の組立て方法および組立て装置
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
WO1999045179A1 (en) 1998-03-05 1999-09-10 Obducat Ab Method of etching
SE511682C2 (sv) 1998-03-05 1999-11-08 Etchtech Sweden Ab Motstånd i elektriska ledare på eller i mönsterkort, substrat och halvledarbrickor
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6274393B1 (en) * 1998-04-20 2001-08-14 International Business Machines Corporation Method for measuring submicron images
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
SE513967C2 (sv) 1998-05-29 2000-12-04 Obducat Ab Råmatris för optisk minnesmedia samt sätt för att tillverka en sådan matris
US6680214B1 (en) * 1998-06-08 2004-01-20 Borealis Technical Limited Artificial band gap
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
US5947027A (en) * 1998-09-08 1999-09-07 Motorola, Inc. Printing apparatus with inflatable means for advancing a substrate towards the stamping surface
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (ja) 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6217901B1 (en) * 1999-05-25 2001-04-17 Alnis, Llc Liposome-assisted synthesis of polymeric nanoparticles
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6744909B1 (en) * 1999-08-19 2004-06-01 Physical Optics Corporation Authentication system and method
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (de) 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
SE515607C2 (sv) * 1999-12-10 2001-09-10 Obducat Ab Anordning och metod vid tillverkning av strukturer
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
ATE332517T1 (de) 2000-01-21 2006-07-15 Obducat Ab Form zur nanobedruckung
SE515785C2 (sv) 2000-02-23 2001-10-08 Obducat Ab Anordning för homogen värmning av ett objekt och användning av anordningen
US6234379B1 (en) 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
SE515962C2 (sv) 2000-03-15 2001-11-05 Obducat Ab Anordning för överföring av mönster till objekt
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP2001358056A (ja) 2000-06-15 2001-12-26 Canon Inc 露光装置
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
US6921615B2 (en) 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20080164638A1 (en) * 2006-11-28 2008-07-10 Wei Zhang Method and apparatus for rapid imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US8016277B2 (en) 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
EP1352295B1 (en) 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
FR2815642B1 (fr) * 2000-10-20 2003-07-11 Pechiney Rhenalu Dispositif rotatif de dispersion de gaz pour le traitement d'un bain de metal liquide
US6284653B1 (en) * 2000-10-30 2001-09-04 Vanguard International Semiconductor Corp. Method of selectively forming a barrier layer from a directionally deposited metal layer
JP2004515918A (ja) 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2002302862A (ja) * 2001-04-06 2002-10-18 Mitsui Chemicals Inc 不織布の製造方法及び装置
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (ja) 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6787071B2 (en) * 2001-06-11 2004-09-07 General Electric Company Method and apparatus for producing data storage media
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6555411B1 (en) * 2001-12-18 2003-04-29 Lucent Technologies Inc. Thin film transistors
US6743368B2 (en) 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
DE10307518B4 (de) 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
AU2003244130A1 (en) * 2002-06-20 2004-03-11 Matsushita Electric Industrial Co., Ltd. Switch device
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7526403B2 (en) * 2002-07-31 2009-04-28 Dahlgren, Llc Mortar ballistic computer and system
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6840878B2 (en) * 2002-12-23 2005-01-11 Depuy Products, Inc. Idler assembly for a sanding/polishing device
JP4651390B2 (ja) 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US20040202865A1 (en) * 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) * 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US6967798B2 (en) * 2003-12-19 2005-11-22 Komag, Inc. Magnetic recording disk having DTR patterned CSS zone
KR100566700B1 (ko) * 2004-01-15 2006-04-03 삼성전자주식회사 반도체 공정에서 포토레지스트 패턴 형성 방법,포토레지스트 패턴 형성용 템플레이트 및 이의 제조 방법.
TWI277815B (en) * 2004-01-16 2007-04-01 Hannstar Display Corp Liquid crystal display and manufacturing method of liquid crystal display including substrate
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
WO2005119802A2 (en) * 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7533905B2 (en) * 2005-06-02 2009-05-19 Hewlett-Packard Development Company, L.P. Anti-counterfeiting system and method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5355219A (en) * 1992-12-18 1994-10-11 Matsushita Electric Industrial Co., Ltd. Gap control apparatus and method utilizing heterodyne signal phase difference detection
US6088103A (en) * 1995-05-31 2000-07-11 Massachusetts Institute Of Technology Optical interference alignment and gapping apparatus

Also Published As

Publication number Publication date
US20080095878A1 (en) 2008-04-24
CN1531668A (zh) 2004-09-22
KR20040004401A (ko) 2004-01-13
EP1352295A2 (en) 2003-10-15
US7060324B2 (en) 2006-06-13
WO2002067055A2 (en) 2002-08-29
KR101031528B1 (ko) 2011-04-27
US20040141163A1 (en) 2004-07-22
US7708542B2 (en) 2010-05-04
US6696220B2 (en) 2004-02-24
US20040170771A1 (en) 2004-09-02
US7229273B2 (en) 2007-06-12
US20100173033A1 (en) 2010-07-08
EP2306242A3 (en) 2011-11-02
US8033814B2 (en) 2011-10-11
EP2306242A2 (en) 2011-04-06
US20020115002A1 (en) 2002-08-22
EP1352295B1 (en) 2015-12-23
WO2002067055A3 (en) 2002-10-10
AU2001297642A1 (en) 2002-09-04
JP2004523906A (ja) 2004-08-05
US20040168586A1 (en) 2004-09-02

Similar Documents

Publication Publication Date Title
CN100365507C (zh) 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US9223202B2 (en) Method of automatic fluid dispensing for imprint lithography processes
US8016277B2 (en) Flexure based macro motion translation stage
US7186483B2 (en) Method of determining alignment of a template and a substrate having a liquid disposed therebetween
KR20050026088A (ko) 임프린트 리소그래피용 산란측정 정렬

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080130

Termination date: 20181012